Patent application number | Description | Published |
20120281536 | SYSTEMS AND METHODS FOR DETECTION FOR PRIORITIZING AND SCHEDULING PACKETS IN A COMMUNICATION NETWORK - Systems and methods provide a parameterized scheduling system that incorporates end-user application awareness and can be used with scheduling groups that contain data streams from heterogeneous applications. Data packets are analyzed at multiple protocol levels to detect characteristics associated with communicating the packets. The data packets are filtered so that detecting the characteristics is efficiently performed. The detected characteristics can be used for scheduling transmission of the packets. The detected characteristics can be used to dynamically change scheduling parameters. The dynamic scheduling parameters can maximize user Quality of Experience (QoE) in response to recurring network patterns, one-time events, application characteristics, protocol characteristics, device characteristics, service level agreements, or combinations thereof. Scheduling parameters may also incorporate notions of “duration neglect” and “recency effect” in an end-user's perception of video quality in order to manage video traffic during periods of congestion. | 11-08-2012 |
20120327779 | SYSTEMS AND METHODS FOR CONGESTION DETECTION FOR USE IN PRIORITIZING AND SCHEDULING PACKETS IN A COMMUNICATION NETWORK - Systems and methods provide a parameterized scheduling system that incorporates congestion detection and end-user application awareness and can be used with scheduling groups that contain data streams from heterogeneous applications. Congestion can be detected at multiple domains. Congestions can be detected using demand for communications, measure of resource usage in the communication device, or performance of the communication device. Congestions can also be detected using measures of protocol delay. The detected information can be used for scheduling transmission of the packets. Quality of Experience (QoE) for users can be maximized by efficient control responses to detected congestion. | 12-27-2012 |
20130012251 | COMMUNICATIONS BASE STATION WITH DECISION FUNCTION FOR DISTRIBUTING TRAFFIC ACROSS MULTIPLE BACKHAULS - A communication station, such as a base station or access point, has multiple backhaul options and distributes backhaul data between the available backhaul options. The communication station includes a transceiver for transmitting and receiving data with user equipments, multiple backhaul interface modules, and a backhaul distribution module arranged for monitoring demand for backhaul bandwidth and distributing data over the backhauls based on the demand for backhaul bandwidth. Additional modules for user data and control plane processing may be included with the user/control distinction used in distributing data over the backhauls. The backhaul options may include a preferred backhaul and an alternate backhaul. Distributing data over the backhauls may be based, for example, on applications associated with the data, financial cost, delay, robustness, computational resources, and/or additional security associated with using a particular backhaul. | 01-10-2013 |
20130165084 | SYSTEMS AND METHODS FOR COOPERATIVE APPLICATIONS IN COMMUNICATION SYSTEMS - Systems and methods provide communications between applications in terminal nodes and applications agents in access nodes. The APP-agent cooperative communications can be used to improve quality of experience for users or the terminal nodes. An access node may, for example, have a parameterized scheduling system that incorporates information from the APP-agent cooperative communications in determining scheduling parameters. An application at a terminal node may, for example, modify requests for communication based on information about communication capabilities received from an access node. For APP-agent cooperative communications for multiple applications, an access node may include a master application agent to facilitate and coordinate communications to specific application agents that address APP-agent cooperative communications for specific applications. Similarly, a terminal node may use a master application and specific applications for APP-agent cooperative communications. | 06-27-2013 |
20130272121 | SYSTEMS AND METHODS FOR APPLICATION-AWARE ADMISSION CONTROL IN A COMMUNICATION NETWORK - Systems and methods for optimizing system performance of capacity and spectrum constrained, multiple-access communication systems by using application-aware admission control are provided. The systems and methods provided herein can determine admission control response using information about applications and congestion information. The information about applications can be obtained from packet inspection. The admission control responses can include admitting a new service, denying the new service, modifying the new or an existing service, delaying the new service, and suspending an existing service. | 10-17-2013 |
20130310051 | COMMUNICATIONS BASE STATION WITH DECISION FUNCTION FOR DISTRIBUTING TRAFFIC ACROSS MULTIPLE BACKHAULS - A communication station, such as a base station or access point, has multiple backhaul options and distributes backhaul data between the available backhaul options. The communication station includes a transceiver for transmitting and receiving data with user equipments, multiple backhaul interface modules, and a backhaul distribution module arranged for monitoring demand for backhaul bandwidth and distributing data over the backhauls based on the demand for backhaul bandwidth. Additional modules for user data and control plane processing may be included with the user/control distinction used in distributing data over the backhauls. The backhaul options may include a preferred backhaul and an alternate backhaul. Distributing data over the backhauls may be based, for example, on applications associated with the data, financial cost, delay, robustness, computational resources, and/or additional security associated with using a particular backhaul. | 11-21-2013 |
20140135024 | COMMUNICATIONS BASE STATION WITH DECISION FUNCTION FOR DISTRIBUTING TRAFFIC ACROSS MULTIPLE BACKHAULS - A communication station, such as a base station or access point, has multiple backhaul options and distributes backhaul data between the available backhaul options. The communication station includes a transceiver for transmitting and receiving data with user equipments, multiple backhaul interface modules, and a backhaul distribution module arranged for monitoring demand for backhaul bandwidth and distributing data over the backhauls based on the demand for backhaul bandwidth. Additional modules for user data and control plane processing may be included with the user/control distinction used in distributing data over the backhauls. The backhaul options may include a preferred backhaul and an alternate backhaul. Distributing data over the backhauls may be based, for example, on applications associated with the data, financial cost, delay, robustness, computational resources, and/or additional security associated with using a particular backhaul. | 05-15-2014 |
20150024771 | COMMUNICATIONS BASE STATION WITH DECISION FUNCTION FOR DISTRIBUTING TRAFFIC ACROSS MULTIPLE BACKHAULS - A communication station, such as a base station or access point, has multiple backhaul options and distributes backhaul data between the available backhaul options. The communication station includes a transceiver for transmitting and receiving data with user equipments, multiple backhaul interface modules, and a backhaul distribution module arranged for monitoring demand for backhaul bandwidth and distributing data over the backhauls based on the demand for backhaul bandwidth. Additional modules for user data and control plane processing may be included with the user/control distinction used in distributing data over the backhauls. The backhaul options may include a preferred backhaul and an alternate backhaul. Distributing data over the backhauls may be based, for example, on applications associated with the data, financial cost, delay, robustness, computational resources, and/or additional security associated with using a particular backhaul. | 01-22-2015 |
20150088827 | FILE BLOCK PLACEMENT IN A DISTRIBUTED FILE SYSTEM NETWORK - A method for file block placement in a distributed file system network that includes a plurality of data storage nodes, the method comprising the steps of generating a plurality of file block placement options for a file block, each block placement option being associated with at least one of the data storage nodes, the file block placement options being based on a set of network parameters associated with the distributed file system, determining a cost valuation parameter associated with each of the plurality of file block placement options, and selecting one of the plurality of file block placement options based at least in part on the cost valuation parameter associated with each file block placement option. | 03-26-2015 |
20150333994 | FILE BLOCK PLACEMENT IN A DISTRIBUTED NETWORK - A method for predicting available bandwidth for a candidate flow on a link in a distributed network includes obtaining information about a plurality of flows carried by the link, the information including a current bandwidth consumption for each of the flows carried by the link; identifying whether each of the flows carried by the link has a local constraint or a remote constraint; and computing the available bandwidth for the candidate flow based at least in part on the information about the flows carried by the link and the identification of whether each of the flows carried by the link has a local constraint or a remote constraint. The predicted available bandwidth can be used to predict bandwidth consumption for active flows. The predicted available bandwidths can be used in selecting file block placement options. | 11-19-2015 |
Patent application number | Description | Published |
20100054326 | METHOD AND APPARATUS FOR DETECTING START AND END OF A VIDEO SEQUENCE - A method and system for transcoding a video sequence having a plurality of frames are disclosed. For example, the method receives a video sequence having a plurality of frames in a first format. Then, the method detects at least one prefix frame in the video sequence. Subsequently, the method encodes the plurality of frames of the video sequence, beginning with a first frame of the plurality of frames, into a second format in response to detecting the at least one prefix frame. Then, the method detects at least one suffix frame in the video sequence having the plurality of frames. The method concludes by stopping the encoding of the plurality of frames after the last frame of the video sequence in response to detecting the at least one suffix frame. | 03-04-2010 |
20110175988 | 3D VIDEO GRAPHICS OVERLAY - Preparing a three dimensional (3D) video graphical overlay based on a two dimensional (2D) graphical image in a decoded stereoscopic video signal. This includes receiving the 2D graphical image and receiving 3D information associated with the 3D video graphical overlay. This also includes reproducing, using a processor, the 2D graphical image to form a first view graphical image and a second view graphical image in a graphics window. This also includes mapping the first and second view graphical images, using the 3D information, to frames in the 3D video to form a 3D video graphical overlay of a 3D video stream. This also includes blending the 3D video graphical overlay and the 3D video stream. | 07-21-2011 |
20110235991 | MOVING CONTENT BETWEEN SET TOP BOX AND END DEVICES IN HOME - A content moving device which enables providing content stored on a first user device, such as a DVR, in a first format and resolution to be provided to a second user device, such as a portable media player (PMP) in a second format and resolution. The content moving device identifies content on the first user device as candidate content which may be desired by the PMP and receives the candidate content from the DVR. The content moving device transcodes the candidate content at times independent of a request from the PMP for the content. The content moving device may provide a list of available transcoded content to the PMP for selection, and provide selected content to the PMP. The content moving device may also provide information relating to any protection schemes of the content provided to the PMP, such as DRM rights and decryption keys. The content moving device performs the often computationally intense and time consuming transcoding of user content to enable the user to move content between different user devices in a convenient manner. | 09-29-2011 |
20120079054 | Automatic Memory Management for a Home Transcoding Device - A content moving device which enables providing content stored on a first user device, such as a DVR, in a first format and resolution to be provided to a second user device, such as a portable media player (PMP) in a second format and resolution. The content moving device identifies content on the first user device as candidate content which may be desired by the PMP and assigns a priority level to the content. The content moving device transcodes the candidate content in order of highest priority first and lowest priority last. The content moving device may also use the priority level to manage deletion of the transcoded content from the storage on the content moving device. The lowest priority level content may be deleted first as storage space is needed. | 03-29-2012 |
20130198342 | MEDIA FORMAT NEGOTIATION MECHANISM DELIVERING CLIENT DEVICE MEDIA CAPABILITIES TO A SERVER - A method and apparatus is provided for negotiating a media format to be used by a client device to access a media object. The method includes receiving data over a communications network from a client device. The data specifies at least one media format in which the client device is able to render the media object. Based on the data received from the client device, one or more media formats is determined in which the media object is available so that the media object is renderable by the client device. The media object is delivered to the client device over the communications network in at least one of the one or more media formats. | 08-01-2013 |
20140013376 | METHODS AND DEVICES FOR EFFICIENT ADAPTIVE BITRATE STREAMING - A method for providing video content from a server to a plurality of media devices is disclosed, the method comprising: determining, by the server, the bandwidth to allocate to each of the plurality of media devices using a hypertext transfer protocol-based live streaming client model or a need parameter vector and providing the allocated bandwidth to each of the plurality of media devices, wherein the video content is transmitted in a plurality of segments from the server, and wherein each segment is transmitted at a bitrate that may vary from segment to segment. | 01-09-2014 |
20140068690 | BROADCAST CONTENT TO HTTP CLIENT CONVERSION - In embodiments of broadcast content to HTTP client conversion, a client converter ( | 03-06-2014 |
20140281009 | DEVICES, SYSTEMS, AND METHODS FOR CONVERTING OR TRANSLATING DYNAMIC ADAPTIVE STREAMING OVER HTTP (DASH) TO HTTP LIVE STREAMING (HLS) - Provided herein are systems and methods that allow for converting or translating Dynamic Adaptive Streaming over HTTP (DASH) to HTTP Live Streaming (HLS) and vice versa. | 09-18-2014 |
20150281800 | BROADCAST CONTENT TO HTTP CLIENT CONVERSION - In embodiments of broadcast content to HTTP client conversion, a client converter receives video content as an input of broadcast content data streams from a content distribution system. The client converter can join a content data stream of the broadcast input, and convert the content data stream to unicast HTTP data, such as video content segments that are buffered for communication to a client device or to a media player of a client device. An HTTP server of the client converter can then communicate the unicast HTTP data to the client device or to the media player for playback of the video content. | 10-01-2015 |
20150341687 | MOVING CONTENT BETWEEN SET TOP BOX AND END DEVICES IN HOME - A content moving device which enables providing content stored on a first user device, such as a DVR, in a first format and resolution to be provided to a second user device, such as a portable media player (PMP) in a second format and resolution. The content moving device identifies content on the first user device as candidate content which may be desired by the PMP and receives the candidate content from the DVR. The content moving device transcodes the candidate content at times independent of a request from the PMP for the content. The content moving device may provide a list of available transcoded content to the PMP for selection, and provide selected content to the PMP. The content moving device may also provide information relating to any protection schemes of the content provided to the PMP, such as DRM rights and decryption keys. The content moving device performs the often computationally intense and time consuming transcoding of user content to enable the user to move content between different user devices in a convenient manner. | 11-26-2015 |
Patent application number | Description | Published |
20120295938 | ETHERS, SECONDARY AMINES AND DERIVATIVES THEREOF AS MODULATORS OF THE 5-HT2A SEROTONIN RECEPTOR USEFUL FOR THE TREATMENT OF DISORDERS RELATED THERETO - The present invention pertains to certain compounds of Formula (Ia) and pharmaceutical compositions thereof that modulate the activity of the 5-HT2A serotonin receptor. Compounds and pharmaceutical compositions thereof are directed to methods useful in the treatment of platelet aggregation, coronary artery disease, myocardial infarction, transient ischemic attack, angina, stroke, atrial fibrillation, blood clot formation, asthma or symptoms thereof, agitation or a symptom thereof, behavioral disorders, drug induced psychosis, excitative psychosis, Gilles de la Tourette's syndrome, manic disorder, organic or NOS psychosis, psychotic disorder, psychosis, acute schizophrenia, chronic schizophrenia, NOS schizophrenia and related disorders, and sleep disorders, sleep disorders, diabetic-related disorders, progressive multifocal leukoencephalopathy and the like. The present invention also relates to the methods for the treatment of 5-HT2A serotonin receptor associated disorders in combination with other pharmaceutical agents administered separately or together. | 11-22-2012 |
20140163032 | ETHERS, SECONDARY AMINES AND DERIVATIVES THEREOF AS MODULATORS OF THE 5-HT 2A SEROTONIN RECEPTOR USEFUL FOR THE TREATMENT OF DISORDERS RELATED THERETO - The present invention pertains to certain compounds of Formula (Ia) and pharmaceutical compositions thereof that modulate the activity of the 5-HT | 06-12-2014 |
20140235622 | PRIMARY AMINES AND DERIVATIVES THEREOF AS MODULATORS OF THE 5-HT2A SEROTONIN RECEPTOR USEFUL FOR THE TREATMENT OF DISORDERS RELATED THERETO - The present invention pertains to certain compounds of Formula (Ia) and pharmaceutical compositions thereof that modulate the activity of the 5-HT | 08-21-2014 |
20150174102 | ETHERS, SECONDARY AMINES AND DERIVATIVES THEREOF AS MODULATORS OF THE 5-HT 2A SEROTONIN RECEPTOR USEFUL FOR THE TREATMENT OF DISORDERS RELATED THERETO - The present invention pertains to certain compounds of Formula (Ia) and pharmaceutical compositions thereof that modulate the activity of the 5-HT | 06-25-2015 |
20150265576 | PRIMARY AMINES AND DERIVATIVES THEREOF AS MODULATORS OF THE 5-HT2A SEROTONIN RECEPTOR USEFUL FOR THE TREATMENT OF DISORDERS RELATED THERETO - The present invention pertains to certain compounds of Formula (Ia) and pharmaceutical compositions thereof that modulate the activity of the 5-HT | 09-24-2015 |
Patent application number | Description | Published |
20150144962 | COMPLEMENTARILY STRAINED FINFET STRUCTURE - A complementary fin field-effect transistor (FinFET) includes a p-type device having a p-channel fin. The p-channel fin may include a first material that is lattice mismatched relative to a semiconductor substrate. The first material may have a compressive strain. The FinFET device also includes an n-type device having an re-channel fin. The n-channel fin may include a second material having a tensile strain that is lattice mismatched relative to the semiconductor substrate. The p-type device and the n-type device cooperate to form the complementary FinFET device. | 05-28-2015 |
20150145069 | SILICON GERMANIUM FINFET FORMATION - Methods for fabricating a fin in a fin field effect transistor (FinFET), include exposing a single crystal fin structure coupled to a substrate of the FinFET. The single crystal fin structure is of a first material. The method further includes implanting a second material into the exposed single crystal fin structure at a first temperature. The first temperature reduces amorphization of the single crystal fin structure. The implanted single crystal fin structure comprises at least 20% of the first material. The method also includes annealing the implanted fin structure at a second temperature. The second temperature reduces crystal defects in the implanted fin structure to form the fin. | 05-28-2015 |
20150194339 | CONDUCTIVE LAYER ROUTING - Methods of fabricating middle of line (MOL) layers and devices including MOL layers. A method in accordance with an aspect of the present disclosure includes depositing a hard mask across active contacts to terminals of semiconductor devices of a semiconductor substrate. Such a method also includes patterning the hard mask to selectively expose some of the active contacts and selectively insulate some of the active contacts. The method also includes depositing a conductive material on the patterned hard mask and the exposed active contacts to couple the exposed active contacts to each other over an active area of the semiconductor devices. | 07-09-2015 |
20150194525 | SILICON GERMANIUM FINFET FORMATION BY GE CONDENSATION - A method of forming a semiconductor fin of a FinFET device includes conformally depositing an amorphous or polycrystalline thin film of silicon-germanium (SiGe) on the semiconductor fin. The method also includes oxidizing the amorphous or polycrystalline thin film to diffuse germanium from the amorphous or polycrystalline thin film into the semiconductor fin. Such a method further includes removing an oxidized portion of the amorphous or polycrystalline thin film. | 07-09-2015 |
20150249038 | SELECTIVE CONDUCTIVE BARRIER LAYER FORMATION - A semiconductor device includes a die having a via coupling a first interconnect layer to a trench. The semiconductor device also includes a barrier layer on sidewalls and adjacent surfaces of the trench, and on sidewalls of the via. The semiconductor device has a doped conductive layer on a surface of the first interconnect layer. The doped conductive layer extends between the sidewalls of the via. The semiconductor device further includes a conductive material on the barrier layer in both the via and the trench. The conductive material is on the doped conductive layer disposed on the surface of the first interconnect layer. | 09-03-2015 |
20150249155 | METHOD AND APPARATUS OF STRESSED FIN NMOS FINFET - A semiconductor fin is on a substrate, and extends in a longitudinal direction parallel to the substrate. The fin projects, in a vertical direction, to a fin top at a fin height above the substrate. An embedded fin stressor element is embedded in the fin. The fin stressor element is configured to urge a vertical compression force within the fin, parallel to the vertical direction. Optionally, the semiconductor material includes silicon, and embedded fin stressor element includes silicon dioxide. | 09-03-2015 |
20150255571 | SEMICONDUCTOR DEVICE HAVING A GAP DEFINED THEREIN - In a particular embodiment, a method includes forming a first spacer structure on a dummy gate of a semiconductor device and forming a sacrificial spacer on the first spacer structure. The method also includes etching a structure of the semiconductor device to create an opening, removing the sacrificial spacer via the opening, and depositing a material to close to define a gap. | 09-10-2015 |
20150262875 | SYSTEMS AND METHODS OF FORMING A REDUCED CAPACITANCE DEVICE - A method includes forming an electronic device structure including a substrate, an oxide layer, and a first low-k layer. The method also includes forming openings by patterning the oxide layer, filling the openings with a conductive material to form conductive structures within the openings, and removing the oxide layer using the first low-k layer as an etch stop layer. The conductive structures contact the first low-k layer. Removing the oxide layer includes performing a chemical vapor etch process with respect to the oxide layer to form an etch byproduct and removing the etch byproduct. The method includes forming a second low-k layer using a deposition process that causes the second low-k layer to define one or more cavities. Each cavity is defined between a first conductive structure and an adjacent conductive structure, the first and second conductive structures have a spacing therebetween that is smaller than a threshold distance. | 09-17-2015 |
20150270134 | METHODS OF FORMING A METAL-INSULATOR-SEMICONDUCTOR (MIS) STRUCTURE AND A DUAL CONTACT DEVICE - A method includes forming a first metal layer on source/drain regions of an n-type metal-oxide-semiconductor (NMOS) device and on source/drain regions of a p-type MOS (PMOS) device by chemical vapor deposition (CVD) or non-energetic physical vapor deposition (PVD). The method further includes selectively performing a rapid thermal anneal (RTA) process on the first metal layer after forming the first metal layer. | 09-24-2015 |
20150325515 | VIA MATERIAL SELECTION AND PROCESSING - Semiconductor interconnects and methods for making semiconductor interconnects. An interconnect may include a first via of a first conductive material between a first conductive interconnect layer and a first middle of line (MOL) interconnect layer. The first MOL interconnect layer is on a first level. The first via is fabricated with a single damascene process. Such a semiconductor interconnect also includes a second via of a second conductive material between the first conductive interconnect layer and a second MOL interconnect layer. The second MOL interconnect layer is on a second level. The second via is fabricated with a dual damascene process. The first conductive material is different than the second conductive material. | 11-12-2015 |
20160027726 | SEMICONDUCTOR DEVICE HAVING AN AIRGAP DEFINED AT LEAST PARTIALLY BY A PROTECTIVE STRUCTURE - An apparatus includes a first interconnect and a first barrier structure. The first barrier structure is in contact with a dielectric material. The apparatus further includes a first protective structure in contact with the first barrier structure and an etch stop layer. An airgap is defined at least in part by the first protective structure and the etch stop layer. | 01-28-2016 |
20160035891 | STRESS IN N-CHANNEL FIELD EFFECT TRANSISTORS - A fin field-effect transistor (FinFET) includes a gate stack on a surface of a semiconductor fin. The semiconductor fin may include a capping material and a stressor material. The stressor material is confined by the capping material to a region proximate the gate stack. The stressor material provides stress on the semiconductor fin proximate the gate stack. | 02-04-2016 |
20160049487 | DEVICE INCLUDING CAVITY AND SELF-ALIGNED CONTACT AND METHOD OF FABRICATING THE SAME - A device includes a first structure and a second structure. The second structure is separated from the first structure by a cavity. The device further includes a seal material, an etch stop material defining an etched region, and a self-aligned contact (SAC). The seal material is configured to seal the cavity, and the SAC is formed within the etched region. The SAC adjoins the seal material, the etch stop material, or a combination thereof. | 02-18-2016 |
20160071795 | CAPACITOR FROM SECOND LEVEL MIDDLE-OF-LINE LAYER IN COMBINATION WITH DECOUPLING CAPACITORS - A device capacitor structure within middle of line (MOL) layers includes a first MOL interconnect layer. The first MOL interconnect layer may include active contacts between a set of dummy gate contacts on an active surface of a semiconductor substrate. The device capacitor structure also includes a second MOL interconnect layer. The second MOL interconnect layer may include a set of stacked contacts directly on exposed ones of the active contacts. The second MOL interconnect layer may also include a set of fly-over contacts on portions of an etch-stop layer on some of the active contacts. The fly-over contacts and the stacked contacts may provide terminals of a set of device capacitors. | 03-10-2016 |
20160071847 | HALF NODE SCALING FOR VERTICAL STRUCTURES - A method for half-node scaling a circuit layout in accordance with an aspect of the present disclosure includes vertical devices on a die. The method includes reducing a fin pitch and a gate pitch of the vertical devices on the die. The method also includes scaling a wavelength to define at least one reduced area geometric pattern of the circuit layout. | 03-10-2016 |
20160079167 | TIE-OFF STRUCTURES FOR MIDDLE-OF-LINE (MOL) MANUFACTURED INTEGRATED CIRCUITS, AND RELATED METHODS - Tie-off structures for middle-of-line (MOL) manufactured integrated circuits, and related methods are disclosed. As a non-limiting example, the tie-off structure may be used to tie-off a drain or source of a transistor to the gate of the transistor, such as provided in a dummy gate used for isolation purposes. In this regard in one aspect, a MOL stack is provided that includes a metal gate connection that is coupled to a metal layer through metal structure disposed in and above a dielectric layer above a gate associated with the metal gate connection. By coupling the metal gate connection to the metal layer, the gate of a transistor may be coupled or “tied-off” to a source or drain element of the transistor. This may avoid the need to etch the metal gate connection provided below the dielectric layer to provide sufficient connectivity between the metal layer and the metal gate connection. | 03-17-2016 |
20160079175 | MIDDLE-OF-LINE (MOL) MANUFACTURED INTEGRATED CIRCUITS (ICs) EMPLOYING LOCAL INTERCONNECTS OF METAL LINES USING AN ELONGATED VIA, AND RELATED METHODS - Middle-of-line (MOL) manufactured integrated circuits (ICs) employing local interconnects of metal lines using an elongated via are disclosed. Related methods are also disclosed. In particular, different metal lines in a metal layer may need to be electrically interconnected during a MOL process for an IC. In this regard, to allow for metal lines to be interconnected without providing such interconnections above the metal lines that may be difficult to provide in a printing process for example, in an exemplary aspect, an elongated or expanded via(s) is provided in a MOL layer in an IC. The elongated via is provided in the MOL layer below the metal layer in the MOL layer and extended across two or more adjacent metal layers in the metal layer of the MOL layer. Moving the interconnections above the MOL layer can simplify the manufacturing of ICs, particularly at low nanometer (nm) node sizes. | 03-17-2016 |
20160086805 | METAL-GATE WITH AN AMORPHOUS METAL LAYER - A particular semiconductor device includes a substrate, a source contact, a drain contact, and a metal-gate. The substrate includes a source region, a drain region, and a channel. The source contact is coupled to the source region. The drain contact is coupled to the drain region. The metal-gate is coupled to the channel. The metal-gate includes an amorphous metal layer. | 03-24-2016 |
20160087070 | METHOD AND APPARATIS FOR SOURCE-DRAIN JUNCTION FORMATION FINFET WITH QUANTUM BARRIER AND GROUND PLANE DOPING - A portion of a bulk silicon (Si) is formed into a fin, having a fin base and, on the fin base, an in-process fin. The fin base is doped Si and the in-process fin is silicon germanium (SiGe). The in-process SiGe fin has a source region and a drain region. Boron is in-situ doped into the drain region and into the source region. Optionally, boron is in-situ doped by forming an epi-layer, having boron, on the drain region and on the source region, and drive-in annealing to diffuse boron in the source region and the drain region. | 03-24-2016 |
20160093535 | METHOD AND APPARATUS OF MULTI THRESHOLD VOLTAGE CMOS - A first and a second instance of a common structured stack are formed, respectively, on a first fin and a second fin. The common structured stack includes a work-function metal layer, and a barrier layer. The barrier layer of the first instance of the common structured stack is etched through, and the work-function metal layer of the first instance of the common structure is partially etched. The partial etch forms a thinner work-function metal layer, having an oxide of the work-function metal as a new barrier layer. A gate element is formed on the new barrier layer. | 03-31-2016 |
20160126144 | METHODS OF FORMING A METAL-INSULATOR-SEMICONDUCTOR (MIS) STRUCTURE AND A DUAL CONTACT DEVICE - A method includes forming a first metal layer on source/drain regions of an n-type metal-oxide-semiconductor (NMOS) device and on source/drain regions of a p-type MOS (PMOS) device by chemical vapor deposition (CVD) or non-energetic physical vapor deposition (PVD). The method further includes selectively performing a rapid thermal anneal (RTA) process on the first metal layer after forming the first metal layer. | 05-05-2016 |
20160141250 | BARRIER STRUCTURE - A semiconductor device includes a dielectric material and an interconnect structure. The semiconductor device further includes a barrier layer positioned between the dielectric material and the interconnect structure. The barrier layer includes two or more metals. Each metal of the two or more metals of the barrier layer is phase segregated from each other metal of the two or more metals. | 05-19-2016 |
Patent application number | Description | Published |
20080206757 | METHODS AND COMPOSITIONS FOR DETECTING RARE CELLS FROM A BIOLOGICAL SAMPLE - The present invention provides methods and compositions for isolating and detecting rare cells from a biological sample containing other types of cells. In particular, the present invention includes a debulking step that uses a microfabricated filters for filtering fluid samples and the enriched rare cells can be used in a downstream process such as identifies, characterizes or even grown in culture or used in other ways. The invention also include a method of determining the aggressiveness of the tumor or of the number or proportion of cancer cells in the enriched sample by detecting the presence or amount of telomerase activity or telomerase nucleic acid or telomerase expression after enrichment of rare cells. This invention further provides an efficient and rapid method to specifically remove red blood cells as well as white blood cells from a biological sample containing at least one of each of red blood cells and white blood cells, resulting in the enrichment of rare target cells including circulating tumor cells (CTC), stromal cells, mesenchymal cells, endothelial cells, fetal cells, stem cells, non-hematopoietic cells etc from a blood sample. The method is based upon combination of immuno-microparticles (antibody coated microparticles) and density-based separation. The final enriched target cells can be subjected to a variety of analysis and manipulations, such as flowcytometry, PCR, immunofluorescence, immunocytochemistry, image analysis, enzymatic assays, gene expression profiling analysis, efficacy tests of therapeutics, culturing of enriched rare cells, and therapeutic use of enriched rare cells. In addition, depleted plasma protein and white blood cells can be optionally recovered, and subjected to other analysis such as inflammation studies, gene expression profiling, etc. | 08-28-2008 |
20080286750 | APPARATUS INCLUDING ION TRANSPORT DETECTING STRUCTURES AND METHODS OF USE - The present invention recognizes that the determination of ion transport function or properties using direct detection methods, such as whole cell recording or single channel recording, are preferable to methods that utilize indirect detection methods, such as FRET based detection system. The present invention provides biochips and other fluidic components and methods of use that allow for the direct analysis of ion transport function or properties using microfabricated structures that can allow for automated detection of ion transport function or properties. These biochips and fluidic components and methods of use thereof are particularly appropriate for automating the detection of ion transport function or properties, particularly for screening purposes. | 11-20-2008 |
20090209029 | HIGH-DENSITY ION TRANSPORT MEASUREMENT BIOCHIP DEVICES AND METHODS - The present invention includes biochips for the measurement of cellular ion channels and methods of use and manufacture. The biochips of the present invention have enhanced sealing capabilities provided in part by chemically modifying the surface of the biochip surface or substrate or by exposure to an ionized gas. The present invention also includes novel cartridges for biochips. | 08-20-2009 |
20150079677 | METHODS AND COMPOSITIONS FOR SEPARATING RARE CELLS FROM FLUID SAMPLES - The present invention includes methods of enriching rare cells, such as cancer cells, from biological samples, such as blood samples. The methods include performing at least one debulking step on a blood sample and selectively removing at least one type undesirable component from the blood sample to obtain a blood sample that is enriched in a rare cell of interest. In some embodiments magnetic beads coupled to specific binding members are used to selectively removed components. | 03-19-2015 |
Patent application number | Description | Published |
20110104211 | COMPOSITIONS AND METHODS FOR THE THERAPY AND DIAGNOSIS OF BREAST CANCER - Compositions and methods for the therapy and diagnosis of cancer, particularly breast cancer, are disclosed. Illustrative compositions comprise one or more breast tumor polypeptides, immunogenic portions thereof, polynucleotides that encode such polypeptides, antigen presenting cell that expresses such polypeptides, and T cells that are specific for cells expressing such polypeptides. The disclosed compositions are useful, for example, in the diagnosis, prevention and/or treatment of diseases, particularly breast cancer. | 05-05-2011 |
20120016340 | COMPOSITIONS AND METHODS FOR THE THERAPY AND DIAGNOSIS OF PROSTATE CANCER - Compositions and methods for the therapy and diagnosis of cancer, particularly prostate cancer, are disclosed. Illustrative compositions comprise one or more prostate-specific polypeptides, immunogenic portions thereof, polynucleotides that encode such polypeptides, antigen presenting cell that expresses such polypeptides, and T cells that are specific for cells expressing such polypeptides. The disclosed compositions are useful, for example, in the diagnosis, prevention and/or treatment of diseases, particularly prostate cancer. | 01-19-2012 |
20140161828 | BCMA ANTIGEN BINDING PROTEINS - The present invention relates to BCMA (B-Cell Maturation Antigen) antigen binding proteins, such as antibodies, polynucleotide sequences encoding said antigen binding proteins, and compositions and methods for diagnosing and treating diseases. The present invention also relates to BCMA antibody drug conjugates. | 06-12-2014 |
20150344583 | BCMA ANTIGEN BINDING PROTEINS - The present invention relates to BCMA (B-Cell Maturation Antigen) antigen binding proteins, such as antibodies, polynucleotide sequences encoding said antigen binding proteins, and compositions and methods for diagnosing and treating diseases. The present invention also relates to BCMA antibody drug conjugates and uses thereof. | 12-03-2015 |
Patent application number | Description | Published |
20130015566 | APPARATUS AND METHODS FOR QUAD FLAT NO LEAD PACKAGINGAANM GONG; ZHIWEIAACI ChandlerAAST AZAACO USAAGP GONG; ZHIWEI Chandler AZ USAANM Xu; JianwenAACI San DiegoAAST CAAACO USAAGP Xu; Jianwen San Diego CA USAANM Gao; WeiAACO USAAGP Gao; Wei USAANM Hayes; Scott M.AACI ChandlerAAST AZAACO USAAGP Hayes; Scott M. Chandler AZ US - A method for fabricating a semiconductor package is disclosed that includes providing a supply of lead elements, mounting a plurality of the lead elements on a lead frame until a predetermined number of lead elements are placed on the lead frame, and connecting other components on the lead frame to the lead elements. | 01-17-2013 |
20130052777 | BACK SIDE ALIGNMENT STRUCTURE AND MANUFACTURING METHOD FOR THREE-DIMENSIONAL SEMICONDUCTOR DEVICE PACKAGES - A mechanism for accurate alignment of semiconductor package back side interconnect processing is provided. As semiconductor die are placed in position for an encapsulated panel, two or more alignment die having fiducial markings formed on the back, or non-active, side of those die are also placed in the panel. Once all the die and other components have been placed for the panel, the panel is encapsulated using an encapsulant. Excess encapsulant, if any, is removed by a process such as backgrinding. The back grinding process exposes the back side of the alignment die and the fiducial features on those alignment die. The fiducial features on the alignment die can then be used for alignment of backside processing operations on the panel. | 02-28-2013 |
20130062746 | Soldering Relief Method and Semiconductor Device Employing Same - A semiconductor device includes a substrate having a first side and a second side, the second side having a mounting location for at least one semiconductor element, and the first side having a plurality of locations electrically connected to locations on the second side. A plurality of electrically conductive interconnects are provided at the locations, each having a first end attached at the location and a second end spaced from the substrate, and an encapsulant partially encapsulates the plurality of interconnects and has a surface lying in a first plane. The second ends are located on the side of the first plane opposite from the substrate first side, an annular space in the encapsulant surrounds each of the plurality of electrically conductive interconnects, and the annular space has a bottom located between the first plane and the substrate first side. Also a method for making such a semiconductor device. | 03-14-2013 |
20130244384 | SOLDERING RELIEF METHOD AND SEMICONDUCTOR DEVICE EMPLOYING SAME - A semiconductor device includes a substrate having a first side and a second side, the second side having a mounting location for at least one semiconductor element, and the first side having a plurality of locations electrically connected to locations on the second side. A plurality of electrically conductive interconnects are provided at the locations, each having a first end attached at the location and a second end spaced from the substrate, and an encapsulant partially encapsulates the plurality of interconnects and has a surface lying in a first plane. The second ends are located on the side of the first plane opposite from the substrate first side, an annular space in the encapsulant surrounds each of the plurality of electrically conductive interconnects, and the annular space has a bottom located between the first plane and the substrate first side. Also a method for making such a semiconductor device. | 09-19-2013 |
20140339712 | SEMICONDUCTOR DEVICE COMPRISING MOLD FOR TOP SIDE AND SIDEWALL PROTECTION - Some implementations provide a semiconductor device that includes a substrate, several metal and dielectric layers coupled to the substrate, and a pad coupled to one of the several metal layers. The semiconductor device also includes a first metal layer coupled to the pad and an under bump metallization layer coupled to the first metal redistribution layer. The semiconductor device further includes a mold layer covering a first surface of the semiconductor device and at least a side portion of the semiconductor device. In some implementations, the mold layer is an epoxy layer. In some implementations, the first surface of the semiconductor device is the top side of the semiconductor device. In some implementations, the mold layer covers the at least side portion of the semiconductor device such that a side portion of at least one of the several metal layers and dielectric layers is covered with the mold layer. | 11-20-2014 |
20150318229 | WAFER LEVEL PACKAGE AND FAN OUT RECONSTITUTION PROCESS FOR MAKING THE SAME - A wafer level package device may include a molding compound that encapsulates a substrate, a back end of line and front end of line layer on the substrate and a passivation layer of a redistribution layer without encapsulating a metal layer on the passivation layer. | 11-05-2015 |
Patent application number | Description | Published |
20090186895 | ETHERS, SECONDARY AMINES AND DERIVATIVES THEREOF AS MODULATORS OF THE 5-HT2A SEROTONIN RECEPTOR USEFUL FOR THE TREATMENT OF DISORDERS RELATED THERETO - The present invention pertains to certain compounds of Formula (Ia) and pharmaceutical compositions thereof that modulate the activity of the 5-HT2A serotonin receptor. Compounds and pharmaceutical compositions thereof are directed to methods useful in the treatment of platelet aggreagation, coronary artery disease, myocardial infarction, transient ischemic attack, angina, stroke, atrial fibrillation, blood clot formation, asthma or symptoms thereof, agitation or a symptom thereof, behavioral disorders, drug induced psychosis, excitative psychosis, Gilles de la Tourette's syndrome, manic disorder, organic or NOS psychosis, psychotic disorder, psychosis, acute schizophrenia, chronic schizophrenia, NOS schizophrenia and related disorders, and sleep disorders, sleep disorders, diabetic-related disorders, progressive multifocal leukoencephalopathy and the like. The present invention also relates to the methods for the treatment of 5-HT2A serotonin receptor associated disorders in combination with other pharmaceutical agents administered separately or together. | 07-23-2009 |
20090197935 | PRIMARY AMINES AND DERIVATIVES THEREOF AS MODULATORS OF THE 5-HT2A SEROTONIN RECEPTOR USEFUL FOR THE TREATMENT OF DISORDERS RELATED THERETO - The present invention pertains to certain compounds of Formula (Ia) and pharmaceutical compositions thereof that modulate the activity of the 5-HT | 08-06-2009 |
20120270861 | Primary Amines And Derivatives Thereof As Modulators Of The 5-HT2A Serotonin Receptor Useful For The Treatment Of Disorders Related Thereto - The present invention pertains to certain compounds of Formula (Ia) and pharmaceutical compositions thereof that modulate the activity of the 5-HT | 10-25-2012 |
Patent application number | Description | Published |
20080200349 | MICRODEVICES HAVING A PREFERENTIAL AXIS OF MAGNETIZATION AND USES THEREOF - This invention relates generally to the field of moiety or molecule isolation, detection and manipulation and library synthesis. In particular, the invention provides a microdevice, which microdevice comprises: a) a magnetizable substance; and b) a photorecognizable coding pattern, wherein said microdevice has a preferential axis of magnetization. Systems and methods for isolating, detecting and manipulating moieties and synthesizing libraries using the microdevices are also provided. | 08-21-2008 |
20100260984 | MICRODEVICES CONTAINING PHOTORECOGNIZABLE CODING PATTERNS AND METHODS OF USING AND PRODUCING THE SAME - This invention relates generally to the field of moiety or molecule analysis, isolation, detection and manipulation and library synthesis. In particular, the invention provides a microdevice, which microdevice comprises: a) a substrate; and b) a photorecognizable coding pattern on said substrate. Preferably, the microdevice does not comprise an anodized metal surface layer. Methods and kits for isolating, detecting and manipulating moieties, and synthesizing libraries using the microdevices are also provided. The invention further provides two-dimensional optical encoders and uses thereof. In certain embodiments, the invention provides a microdevice, which microdevice comprises: a) a magnetizable substance; and b) a photorecognizable coding pattern, wherein said microdevice has a preferential axis of magnetization. Systems and methods for isolating, detecting and manipulating moieties and synthesizing libraries using the microdevices are also provided. | 10-14-2010 |
20120225424 | Device and Method for Electroporation-Based Delivery of Molecules Into Cells and Dynamic Monitoring of Cell Responses - The present invention includes devices and methods for transfecting a cell or cell population and dynamic monitoring of cellular events. A variety of microelectronic devices are provide that incorporate functions such as electroporation, modulation of a transmembrane potential and dynamic monitoring of cellular functions and mechanisms. | 09-06-2012 |
20120228386 | MICRODEVICES CONTAINING PHOTORECOGNIZABLE CODING PATTERNS AND METHODS OF USING AND PRODUCING THE SAME - This invention relates generally to the field of moiety or molecule analysis, isolation, detection and manipulation and library synthesis. In particular, the invention provides a microdevice, which microdevice comprises: a) a substrate; and b) a photorecognizable coding pattern on said substrate. Preferably, the microdevice does not comprise an anodized metal surface layer. Methods and kits for isolating, detecting and manipulating moieties, and synthesizing libraries using the microdevices are also provided. The invention further provides two-dimensional optical encoders and uses thereof. In certain embodiments, the invention provides a microdevice, which microdevice comprises: a) a magnetizable substance; and b) a photorecognizable coding pattern, wherein said microdevice has a preferential axis of magnetization. Systems and methods for isolating, detecting and manipulating moieties and synthesizing libraries using the microdevices are also provided. | 09-13-2012 |
20140073536 | METHODS AND COMPOSITIONS FOR DETECTING NON-HEMATOPOIETIC CELLS FROM A BLOOD SAMPLE - The present invention recognizes that diagnosis and prognosis of many conditions can depend on the enrichment of rare cells, especially tumor cells, from a complex fluid sample such as a blood sample. In particular, the present invention is directed to methods and compositions for detecting a non-hematopoietic cell, e.g., a non-hematopoietic tumor cell, in a blood sample via, inter alia, removing red blood cells (RBCs) from a blood sample using a non-centrifugation procedure, removing white blood cells (WBCs) from said blood sample to enrich a non-hematopoietic cell, if any, from said blood sample; and assessing the presence, absence and/or amount of said enriched non-hematopoietic cell. | 03-13-2014 |
20150079677 | METHODS AND COMPOSITIONS FOR SEPARATING RARE CELLS FROM FLUID SAMPLES - The present invention includes methods of enriching rare cells, such as cancer cells, from biological samples, such as blood samples. The methods include performing at least one debulking step on a blood sample and selectively removing at least one type undesirable component from the blood sample to obtain a blood sample that is enriched in a rare cell of interest. In some embodiments magnetic beads coupled to specific binding members are used to selectively removed components. | 03-19-2015 |
20160040232 | METHODS AND COMPOSITIONS FOR DETECTING NON-HEMATOPOIETIC CELLS FROM A BLOOD SAMPLE - The present invention recognizes that diagnosis and prognosis of many conditions can depend on the enrichment of rare cells, especially tumor cells, from a complex fluid sample such as a blood sample. In particular, the present invention is directed to methods and compositions for detecting a non-hematopoietic cell, e.g., a non-hematopoietic tumor cell, in a blood sample via, inter alia, removing red blood cells (RBCs) from a blood sample using a non-centrifugation procedure, removing white blood cells (WBCs) from said blood sample to enrich a non-hematopoietic cell, if any, from said blood sample; and assessing the presence, absence and/or amount of said enriched non-hematopoietic cell. | 02-11-2016 |
Patent application number | Description | Published |
20090012299 | Pyrazolo-pyridinone compounds and methods of use thereof - The present invention comprises a new class of compounds useful for the prophylaxis and treatment of protein kinase mediated diseases, including inflammation and related conditions. The compounds have a general Formula I | 01-08-2009 |
20090137582 | Pyrazolo-pyridinone derivatives and methods of use - The present invention comprises a new class of compounds useful for the prophylaxis and treatment of pro-inflammatory cytokine mediated diseases, and in particular, p38 activity mediated inflammation and related conditions. The compounds have a general Formula I | 05-28-2009 |
20090176774 | Compounds and methods of use - Selected compounds are effective for prophylaxis and treatment of diseases, such as HGF mediated diseases. The invention encompasses novel compounds, analogs, prodrugs and pharmaceutically acceptable salts thereof, pharmaceutical compositions and methods for prophylaxis and treatment of diseases and other maladies or conditions involving, cancer and the like. The subject invention also relates to processes for making such compounds as well as to intermediates useful in such processes. | 07-09-2009 |
20100292234 | TRIAZOLE FUSED HETEROARYL COMPOUNDS AND METHODS OF USE THEREOF - The present invention comprises a new class of compounds useful for modulating the activity of p38 MAP kinase. The compounds have a general Formula I | 11-18-2010 |
20110294805 | PYRAZOLO-PYRIDINONE AND PYRAZOLO-PYRAZINONE COMPOUNDS AS P38 MODULATORS AND METHODS OF USE THEREOF - The present invention comprises a new class of compounds useful for the prophylaxis and treatment of protein kinase mediated diseases, including inflammation and related conditions. The compounds have a general Formula I | 12-01-2011 |
20120065185 | SUBSTITUTED ALKYLAMINE DERIVATIVES AND METHODS OF USE - Selected heterocyclic compounds are effective for prophylaxis and treatment of diseases, such as angiogenesis mediated diseases. The invention encompasses novel compounds, analogs, prodrugs and pharmaceutically acceptable derivatives thereof, pharmaceutical compositions and methods for prophylaxis and treatment of diseases and other maladies or conditions involving, cancer and the like. | 03-15-2012 |
20130035326 | BIARYL COMPOUNDS AND METHODS OF USE THEREOF - Provided herein are compounds for treatment of KIT, CSF-1R and/or FLT3 kinase mediated diseases. Also provided are pharmaceutical compositions comprising the compounds and methods of using the compounds and compositions. | 02-07-2013 |
20130096113 | HETEROCYCLIC COMPOUNDS AND METHODS OF USE THEREOF - Provided herein are heterocyclic compounds for treatment of CSF1R, FLT3, KIT, and/or PDGFRβ kinase mediated diseases. Also provided are pharmaceutical compositions comprising the compounds and methods of using the compounds and compositions. | 04-18-2013 |
20130273004 | SUBSTITUTED ALKYLAMINE DERIVATIVES AND METHODS OF USE - Selected heterocyclic compounds are effective for prophylaxis and treatment of diseases, such as angiogenesis mediated diseases. The invention encompasses novel compounds, analogs, prodrugs and pharmaceutically acceptable derivatives thereof, pharmaceutical compositions and methods for prophylaxis and treatment of diseases and other maladies or conditions involving, cancer and the like. | 10-17-2013 |
20150196566 | HETEROCYCLIC COMPOUNDS AND METHODS OF USE THEREOF - Provided herein are heterocyclic compounds for treatment of CSF1R, FLT3, KIT, and/or PDGFRβ kinase mediated diseases. Also provided are pharmaceutical compositions comprising the compounds and methods of using the compounds and compositions. | 07-16-2015 |
Patent application number | Description | Published |
20100216781 | mTOR KINASE INHIBITORS FOR ONCOLOGY INDICATIONS AND DISEASES ASSOCIATED WITH THE mTOR/PI3K/AKT PATHWAY - Provided herein are Heteroaryl Compounds having the following structure: | 08-26-2010 |
20110257167 | IDENTIFICATION OF LKB1 MUTATION AS A PREDICTIVE BIOMARKER FOR SENSITIVITY TO TOR KINASE INHIBITORS - Provided herein are methods for treating and/or preventing a cancer or a tumor syndrome in a patient, comprising administering an effective amount of a TOR kinase inhibitor to a patient having cancer or a tumor syndrome, characterized by a LKB1 and/or AMPK gene or protein loss or mutation. | 10-20-2011 |
20120059164 | PYRAZINO[2,3-b]PYRAZINE mTOR KINASE INHIBITOR FOR ONCOLOGY INDICATIONS AND DISEASES ASSOCIATED WITH THE mTOR/PI3K/AKT PATHWAY - Provided herein are Heteroaryl Compounds having the following structure: | 03-08-2012 |
20120071658 | PYRAZINO[2,3-b]PYRAZINE mTOR KINASE INHIBITOR FOR ONCOLOGY INDICATIONS AND DISEASES ASSOCIATED WITH THE mTOR/PI3K/AKT PATHWAY - Provided herein are Heteroaryl Compounds having the following structure: | 03-22-2012 |
20130158023 | IDENTIFICATION OF GENE EXPRESSION AS A PREDICTIVE BIOMARKER FOR LKB1 STATUS - Provided herein are methods for predicting the LKB1 status of a patient or a biological sample, comprising the measurement of particular gene expression levels relative to a set of reference levels that represent the gene expression level of a biological wild-type sample without LKB1 gene or protein loss or mutation and the gene expression level of a reference sample with LKB1 gene or protein loss or mutation. Further provided herein are methods for treating and/or preventing a cancer or a tumor syndrome in a patient, comprising administering an effective amount of a TOR kinase inhibitor to a patient having cancer or a tumor syndrome, characterized by particular gene expression levels. | 06-20-2013 |
20130289271 | PYRAZINO[2,3-b]PYRAZINE mTOR KINASE INHIBITORS FOR ONCOLOGY INDICATIONS AND DISEASES ASSOCIATED WITH THE mTOR/PI3K/AKT PATHWAY - Provided herein are Heteroaryl Compounds having the following structure: | 10-31-2013 |
20140113905 | INHIBITION OF PHOSPHORYLATION OF PRAS40, GSK3-BETA OR P70S6K1 AS A MARKER FOR TOR KINASE INHIBITORY ACTIVITY - Provided herein are methods for treating a cancer treatable by inhibition of phosphorylation of PRAS40, GSK3β or p70S6K1, comprising administering an effective amount of a TOR kinase inhibitor to a patient having a cancer treatable by inhibition of phosphorylation of PRAS40, GSK3β or p70S6K1. | 04-24-2014 |
20150297590 | METHODS FOR TREATING CANCER USING TOR KINASE INHIBITOR COMBINATION THERAPY - Provided herein are methods for treating or preventing a cancer, comprising administering an effective amount of a TOR kinase inhibitor and an effective amount a second active agent to a patient having a cancer. | 10-22-2015 |
20150362505 | INHIBITION OF PHOSPHORYLATION OF PRAS40, GSK3-BETA OR P70S6K1 AS A MARKER FOR TOR KINASE INHIBITORY ACTIVITY - Provided herein are methods for treating a cancer treatable by inhibition of phosphorylation of PRAS40, GSK3β or p70S6K1, comprising administering an effective amount of a TOR kinase inhibitor to a patient having a cancer treatable by inhibition of phosphorylation of PRAS40, GSK3β or p70S6K1. | 12-17-2015 |
20160024101 | PYRAZINO[2,3-b]PYRAZINE mTOR KINASE INHIBITORS FOR ONCOLOGY INDICATIONS AND DISEASES ASSOCIATED WITH THE mTOR/PI3K/AKT PATHWAY - Provided herein are Heteroaryl Compounds having the following structure: | 01-28-2016 |
Patent application number | Description | Published |
20130028357 | DETECTION AND MITIGATION OF INTERFERENCE IN A RECEIVER - A novel receiver architecture optimizes receiver performance in the presence of interference. In various embodiments, power estimation circuits are used with variable selectivity to determine the exact nature of the interference and to optimize the performance correspondingly. The variable selectivity is achieved using stages of filtering with progressively narrower bandwidths. Also, the actual method of optimizing the receiver performance is novel compared to the prior art in that the gain settings and the baseband filter order (stages to be used) will be optimized based on the nature of the interference as determined by the power detector measurements. For a device such as a cellular phone that operates in a dynamic and changing environment where interference is variable, embodiments advantageously provide the capability to modify the receiver's operational state depending on the interference. | 01-31-2013 |
20130102267 | DETECTION AND MITIGATION OF INTERFERENCE IN A MULTIMODE RECEIVER USING VARIABLE BANDWIDTH FILTER - Embodiments include a novel receiver architecture to optimize receiver performance in the presence of interference. In various embodiments, power estimation circuits are used to determine the exact nature of the interference and to optimize the performance correspondingly. Variable selectivity of at least one power estimation circuit is achieved using a filter with variable bandwidth, with power measurements taken using different bandwidth settings. Also, the actual method of optimizing the receiver performance is novel compared to the prior art in that the gain settings and the baseband filter order (stages to be used) will be optimized based on the nature of the interference as determined by the power detector measurements. For a device such as a cellular phone that operates in a dynamic and changing environment where interference is variable, embodiments advantageously provide the capability to modify the receiver's operational state depending on the interference. | 04-25-2013 |
20130309989 | DETECTION AND MITIGATION OF INTERFERENCE IN A MULTIMODE RECEIVER USING VARIABLE BANDWIDTH FILTER - Embodiments include a novel receiver architecture to optimize receiver performance in the presence of interference. In various embodiments, power estimation circuits are used to determine the exact nature of the interference and to optimize the performance correspondingly. Variable selectivity of at least one power estimation circuit is achieved using a filter with variable bandwidth, with power measurements taken using different bandwidth settings. Also, the actual method of optimizing the receiver performance is novel compared to the prior art in that the gain settings and the baseband filter order (stages to be used) will be optimized based on the nature of the interference as determined by the power detector measurements. For a device such as a cellular phone that operates in a dynamic and changing environment where interference is variable, embodiments advantageously provide the capability to modify the receiver's operational state depending on the interference. | 11-21-2013 |
20140004812 | DETECTION AND MITIGATION OF INTERFERENCE BASED ON INTERFERENCE LOCATION | 01-02-2014 |
20140155060 | METHOD AND APPARATUS FOR SIGNAL SCANNING FOR MULTIMODE RECEIVER - In a signal processing method, an input signal is provided at an input to a receiver. A bandwidth of the receiver is controlled to a predetermined wideband setting. For band in a plurality of frequency bands, the input signal is processed at the receiver with a mixer, an amplifier, and a filter, to generate a first processed signal, and a power spectral density of the processed signal is generated over that frequency band, to provide a frequency domain signal for that frequency band. Based on the frequency domain signals corresponding to each frequency band in the plurality of frequency bands, a frequency domain representation of the processed signal is reconstructed over a reconstruction band having a bandwidth larger than the predetermined wideband setting. Based on the reconstructed frequency domain representation, a spectral component is identified corresponding to at least one cellular telephony access mode. | 06-05-2014 |
20140302809 | DETECTION AND MITIGATION OF INTERFERENCE BASED ON INTERFERENCE LOCATION - Embodiments include a novel receiver architecture to optimize receiver performance in the presence of interference. In various embodiments, the presence of interference is detected, and the relative frequency location of the interference is detected. The relative frequency location specifies whether the frequency of the interference is high side (above the desired signal, i.e., at a higher frequency) or low side (below the desired signal). The receiver is configured based on the detected interference and relative location thereof. For a device such as a cellular phone that operates in a dynamic and changing environment where interference is variable, embodiments advantageously provide the capability to modify the receiver's operational state depending on the interference. | 10-09-2014 |
20140329480 | DETECTION AND MITIGATION OF INTERFERENCE IN A RECEIVER - A receiver architecture optimizes receiver performance in the presence of interference. In various embodiments, power estimation circuits are used with variable selectivity to determine the exact nature of the interference and to optimize the performance correspondingly. The variable selectivity is achieved using stages of filtering with progressively narrower bandwidths. Also, the actual method of optimizing the receiver performance is an improvement compared to the traditional techniques in that the gain settings and the baseband filter order (stages to be used) will be optimized based on the nature of the interference as determined by the power detector measurements. For a device such as a cellular phone that operates in a dynamic and changing environment where interference is variable, embodiments advantageously provide the capability to modify the receiver's operational state depending on the interference. | 11-06-2014 |
20150349820 | Detection and Mitigation of Interference Based on Interference Location - Embodiments include a novel receiver architecture to optimize receiver performance in the presence of interference. In various embodiments, the presence of interference is detected, and the relative frequency location of the interference is detected. The relative frequency location specifies whether the frequency of the interference is high side (above the desired signal, i.e., at a higher frequency) or low side (below the desired signal). The receiver is configured based on the detected interference and relative location thereof. For a device such as a cellular phone that operates in a dynamic and changing environment where interference is variable, embodiments advantageously provide the capability to modify the receiver's operational state depending on the interference. | 12-03-2015 |
20150381222 | Detection and Mitigation of Interference in a Receiver - A receiver architecture optimizes receiver performance in the presence of interference. In various embodiments, power estimation circuits are used with variable selectivity to determine the exact nature of the interference and to optimize the performance correspondingly. The variable selectivity is achieved using stages of filtering with progressively narrower bandwidths. Also, the actual method of optimizing the receiver performance is an improvement compared to the traditional techniques in that the gain settings and the baseband filter order (stages to be used) will be optimized based on the nature of the interference as determined by the power detector measurements. For a device such as a cellular phone that operates in a dynamic and changing environment where interference is variable, embodiments advantageously provide the capability to modify the receiver's operational state depending on the interference. | 12-31-2015 |