Patent application number | Description | Published |
20100325714 | SYSTEM AND METHOD FOR PROVIDING MOBILITY IN A NETWORK ENVIRONMENT - A method is provided in one example embodiment and includes providing an Internet Protocol (IP) address based on an authentication request associated with a device, the authentication request being associated with a Wi-Fi protocol. The method also includes
| 12-23-2010 |
20120082073 | SYSTEM AND METHOD FOR OFFLOADING DATA IN A COMMUNICATION SYSTEM - A method is provided in one example embodiment and includes receiving a data packet transported on a backhaul link at a first network element; identifying whether the data packet is an upstream data packet; identifying whether the data packet matches an internet protocol (IP) access control list (ACL) or a tunnel endpoint identifier; performing a network address translation on the data packet; and offloading the data packet from the backhaul link. In certain implementations, the method can include identifying that the data packet does not match the IP ACL or the tunnel endpoint identifier; and communicating the data packet to a second network element. In other instances, the method can include identifying that the data packet is a downstream data packet; and restoring a tunnel header and tunnel identification based on an IP address of the data packet. | 04-05-2012 |
20120082146 | SYSTEM AND METHOD FOR OFFLOADING DATA IN A COMMUNICATION SYSTEM - A method is provided in one example embodiment and includes receiving a data packet transported on a backhaul link at a first network element; de-capsulating the data packet; identifying whether the data packet is an upstream data packet; identifying whether the data packet matches an internet protocol (IP) access control list (ACL) or a tunnel endpoint identifier; and offloading the data packet from the backhaul link. In more specific embodiment, the method can include identifying that the data packet does not match the IP ACL or the tunnel endpoint identifier; and communicating the data packet to a second network element. In other examples, the method can include identifying that the data packet is a downstream data packet; identifying a service to be performed for the data packet that cannot be performed at the first network element; and communicating the data packet to a second network element. | 04-05-2012 |
20120082161 | METHODS AND APPARATUS FOR DATA TRAFFIC OFFLOADING AT A ROUTER - This disclosure relates to a system and method for offloading selected data traffic in logical tunnels to the Internet. The offloading provides another data path for selected data traffic that can relieve the burden on a mobile operator's network, such as the backhaul and core networks. As the proliferation of data rich content and increasingly more capable mobile devices has continued, the amount of data communicated over mobile operator's networks has increased. Upgrading the existing network that was designed for voice calls is not desirable or practical for many mobile operators. This disclosure provides systems and methods for offloading data to the Internet at a router to relieve congestion on the mobile operator's network. | 04-05-2012 |
20130091526 | SYSTEM AND METHOD FOR DYNAMIC BEARER SELECTION FOR IMMERSIVE VIDEO COLLABORATION IN MOBILE WIRELESS NETWORKS - The disclosure relates to managing the video and audio streams of a video collaboration session in a communication network. Specifically, the streams of the video collaboration session are managed by primitive messages that are sent in-band with the data of the stream. These in-band primitives are then used to modify the wireless bearers or channels that are carrying the streams to a mobile device. The mechanism can dynamically adapt the wireless bearers based on the primitives to ensure characteristics such as Quality of Service and packet loss are within certain parameters while minimizing the resources used to provide the specified service. | 04-11-2013 |
20130144906 | SYSTEMS AND METHODS FOR CLIENT TRANSPARENT VIDEO READDRESSING - Network operators are striving to find ways to provide stable video services amid a rapid increase in video traffic. In order to provide stable video services with constrained network resources, network operators attempted to reduce video file sizes using a content adaptation engine (CAE). However, network operators failed to efficiently readdress video flows to CAEs. This disclosure provides systems and methods for efficiently readdressing video flows to CAEs. | 06-06-2013 |
20130144979 | SYSTEMS AND METHODS FOR INTELLIGENT VIDEO DELIVERY AND CACHE MANAGEMENT - Network operators are striving to find ways to provide stable video services amid a rapid increase in video data traffic. In order to provide stable video services with constrained network resources, network operators attempted to reduce video file sizes and to cache videos for future use. However, network operators failed to exploit user information and to leverage benefits of distributing these functionalities across the network. This disclosure provides systems and methods for efficiently delivering video and managing video caches by exploiting user information and by distributing video delivery functionalities across the network. | 06-06-2013 |
20130167181 | WIRELESS TCP LINK STATE MONITORING BASED VIDEO CONTENT ADAPTATION AND DATA DELIVERY - Systems and methods are used for receiving a video request from a user equipment for video to be downloaded; determining a link bandwidth status associated with the user equipment; in response to the link bandwidth status associated with the user equipment, determining whether to implement one or more additional processing functions associated with the video delivery; during the video delivery, repeating the determining such that the one or more additional processing functions associated with the video delivery can be implemented or not implemented at different times during the video delivery. These processing functions can include transrating, HTTP optimization, TCP optimization, and video pacing. | 06-27-2013 |
20130250761 | SYSTEM AND METHOD FOR MODIFYING MEDIA PROTOCOL FEEDBACK LOOP BASED ON MOBILE SYSTEM INFORMATION - Systems and methods for modifying a media protocol based on subscriber and network performance information is disclosed. Media protocols such as adaptive bitrate protocol can adjust bit rates based on conditions perceived at the mobile device and with a goal of obtaining the highest bit rate possible. The media protocols residing on the mobile device do not have access to network performance information that can change rapidly and impact the experience at the mobile device. For example, congestion, radio air link interference, handoffs, and quality of service parameters can all impact the experience a user has when accessing media files from a mobile device. The requests made by a mobile device can be modified to take into account these factors to enhance the user experience. | 09-26-2013 |
20140143378 | APPARATUS, SYSTEMS, AND METHODS FOR CLIENT TRANSPARENT VIDEO READDRESSING - Network operators are striving to find ways to provide stable video services amid a rapid increase in video traffic. In order to provide stable video services with constrained network resources, network operators attempted to reduce video file sizes using a content adaptation engine (CAE). However, network operators failed to efficiently readdress video flows to CAEs. This disclosure provides systems and methods for efficiently readdressing video flows to CAEs. | 05-22-2014 |
Patent application number | Description | Published |
20110119740 | SYSTEM AND METHOD FOR PROVIDING ENTERPRISE INTEGRATION IN A NETWORK ENVIRONMENT - A method is provided in one example embodiment and includes receiving a request to authenticate an end user in a service provider network, and evaluating the request to identify the end user as belonging to an enterprise network. A tag is generated for a packet associated with a flow for the end user in the enterprise network. Routing occurs for subsequent packets associated with the flow between the enterprise network and the end user. The subsequent packets associated with the flow are not routed through the service provider network. In more particular embodiments, the end user is authenticated in the enterprise network after being authenticated in the service provider network. In addition, traffic for the end user can be separated based on one or more tags identified within the flow. A plurality of flows can be classified based on a customer identification (CID). The tag can be a virtual local area network (VLAN) tag generated at a base station. | 05-19-2011 |
20110228673 | SYSTEM AND METHOD FOR PROVIDING RATE CONTROL IN A NETWORK ENVIRONMENT - A method is provided in one example embodiment and includes identifying a bandwidth parameter associated with a network link. The method includes evaluating a bandwidth request associated with user equipment, the bandwidth request is associated with a session, which involves the user equipment and which implicates the network link. The bandwidth request can be modified based on the bandwidth parameter that was identified. In more detailed embodiments, one or more header extensions in one or more packets are evaluated in order to assist in identifying the bandwidth parameter. The one or more header extensions can include a selected one of packet sequence numbers, an average packet transmission rate, an average packet receiving rate, and a packet reception error rate. In other examples, modifying the bandwidth request can include downgrading the bandwidth request to lower a bit rate based on the bandwidth parameter identified for the network link. | 09-22-2011 |
20120047230 | CLIENT-INITIATED MANAGEMENT CONTROLS FOR STREAMING APPLICATIONS - A client device that is receiving streaming content on a connection from a streaming server over a network determines a need to suppress transmission of one or more packets from the streaming server on the connection over the network. The client device sends to the streaming server a message configured to cause the streaming server not to transmit the one or more packets to the client device for the connection without terminating the connection. The client device sends a further message that is configured to cause the streaming server to empty a buffer of packets that are queued for transmission but have not yet been transmitted (at a first bit rate) to the client device, so that the client device can send a request to the streaming server for transmissions of packets on the connection at a second bit rate. | 02-23-2012 |
20120082093 | SYSTEM AND METHOD FOR OFFLOADING DATA IN A COMMUNICATION SYSTEM - A method is provided in one example embodiment and includes communicating an in-band message packet from a first network element; receiving a response to the in-band message from a second network element, the response contains tunnel identification binding data that identifies a tunnel on a backhaul link on which traffic from a user equipment can flow; and receiving instructions from the second network element to offload a received data packet from the backhaul link. In particular instances, the in-band message is set to loopback when the in-band message is sent from the first network element. In other embodiments, the tunnel identification binding data is provided in the payload of the in-band message when the in-band message is sent from the first network element. In other examples, the method can include receiving an assigned Internet protocol (IP) address of the user equipment in the response to the in-band message. | 04-05-2012 |
20120082094 | SYSTEM AND METHOD FOR OFFLOADING DATA IN A COMMUNICATION SYSTEM - A method is provided in one example embodiment and includes receiving a downstream data packet transported on a backhaul link at a first network element, the downstream data packet is associated with a user equipment; identifying whether a downstream tunnel used to communicate the data packet to the user equipment has become dormant; and communicating an in-band message to a second network element that the downstream tunnel is dormant. In other examples, the method can include dropping the data packet when a network address port translation binding has expired or does not exist. In certain implementations, the method can include identifying the downstream tunnel as dormant when an activity timer has expired, or identifying the downstream tunnel as dormant based on a stale state setting. | 04-05-2012 |
20120082132 | SYSTEM AND METHOD FOR OFFLOADING DATA IN A COMMUNICATION SYSTEM - A method is provided in one example embodiment and includes receiving a data packet over a first link at a first network element; establishing an out-of-band channel over a second link between the first network element and a second network element; and receiving instructions at the first network element to offload the data packet from the first link. In more particular embodiments, the first network element is a mobile enabled router, and the second network element is a gateway general packet radio service support node or a packet data network gateway. The method can also include receiving a discovery message from the second network element, the discovery message triggering the establishment of the out-of-band channel. In certain cases, the data packet is offloaded based on a type of data in the data packet. | 04-05-2012 |
20120173661 | SYSTEM AND METHOD FOR EXCHANGING INFORMATION IN A MOBILE WIRELESS NETWORK ENVIRONMENT - A method is provided in one example embodiment and includes communicating a message to a remote data plane element in order to request a data plane resource for hosting a session. The method also includes receiving a response at a network element acknowledging the message. Data plane traffic is managed at the remote data plane element based on enforcement rules. The enforcement rules are provisioned in a table element at the remote data plane element, and the table element reflects a portion of a master table element included in the network element. | 07-05-2012 |
20140282986 | CONTENT SERVICE ON DEMAND - A method is provided and may include receiving a request for a network content delivery service from an access device; directing the access device to a network service provider for authentication for the network content delivery service; receiving a network authorization token from the access device, where the network authorization token is associated with the access device; obtaining a network access token from the network service provider; and binding the network access token to a content access token. | 09-18-2014 |
20140372293 | Client App Service on Mobile Network - A system is disclosed for measuring data utilization attributable to use by an application being executed on a mobile device. The system has a server operable to register the application and transmit information to establish a connection between the application and a proxy server. The system also has a proxy server operable to establish a first connection with the application, receive direction to establish a second connection with a target endpoint, establish the second connection between the proxy server and the target endpoint, pass data between the target endpoint and the application using the established connections, and measure the amount of data passed between the target endpoint and the application. | 12-18-2014 |
Patent application number | Description | Published |
20090319977 | Interconnect-Driven Physical Synthesis Using Persistent Virtual Routing - A persistence-driven optimization technique is provided in which nets can be ranked based on unpredictability and likely quality of result impact. The top nets in that ranking can be routed and their parasitics extracted. A timing graph can be back-annotated with route-based delays and parasitics for the selected nets. At this point, synthesis can be run using actual route-based delays and parasitics for the selected nets, with their routes being updated incrementally as needed. In one embodiment, the nets can be re-ranked after synthesis. Finally, these routes can be preserved across the subsequent global routing of the remaining nets. | 12-24-2009 |
20110185333 | GLOBAL LEAKAGE POWER OPTIMIZATION - Some embodiments provide techniques and systems for optimizing a circuit design's global leakage power. During operation, the system can determine leakage potentials for logic gates in the circuit design, such that a logic gate's leakage potential indicates an amount or degree by which the logic gate's leakage power is decreasable. The system can then determine a processing order for processing the logic gates based at least on the leakage potentials. Next, the system can optimize the circuit design's leakage power by attempting to decrease leakage power of logic gates according to the processing order. | 07-28-2011 |
20110185334 | ZONE-BASED LEAKAGE POWER OPTIMIZATION - A leakage power optimization system optimizes leakage power of a circuit design which includes a set of logic gates. The system selects a leakage-power-reducing transformation for a logic gate, and determines a zone around the logic gate. This zone includes logic gates within a first predetermined number of levels in the logic gate's fan-out, the logic gate's fan-in, and a second predetermined number of levels in the logic gate's fan-in's fan-out. The system propagates arrival times within the zone to obtain updated slack values at endpoints of the zone. Then, in response to determining that the updated slack values at the endpoints of the zone do not degrade one or more circuit timing metrics, the system applies the leakage-power-reducing transformation to the logic gate. | 07-28-2011 |
20110191731 | ZONE-BASED AREA RECOVERY IN ELECTRONIC DESIGN AUTOMATION - Some embodiments provide a system that facilitates the creation of a design in an electronic design automation (EDA) application. During operation, the system determines a processing order for processing a set of cells in the design. In some embodiments, the processing order can be a reverse-levelized processing order. Next, the system may select a cell for performing area recovery according to the processing order. The system may then tentatively perform an area-recovery operation on the selected cell. Next, the system may determine a zone around the selected cell. Next, the system may propagate arrival times within the zone to obtain updated slack values at endpoints of the zone. The system may compute one or more timing metrics at the endpoints. If the updated slack values do not degrade the timing metric(s) at the endpoints, the system may accept the area-recovery operation of the selected cell. | 08-04-2011 |
20110191732 | METHOD AND APPARATUS FOR DETERMINING A ROBUSTNESS METRIC FOR A CIRCUIT DESIGN - Some embodiments provide techniques and systems for determining a change indicator for an endpoint, a pathgroup, a design, and/or a flow. The system can determine base critical path delays and base slacks for the endpoints in a base implementation of the circuit design. The system can then determine the new critical path delays and new slacks for the endpoints in a new implementation of the circuit design. Next, the system determines slack differences for the endpoints using the new slacks and the base slacks. Finally, for each endpoint, the system can determine an endpoint change indicator using the associated slack difference, the base critical path delay, and the new critical path delay. A pathgroup change indicator can be determined using endpoint change indicators. A design change indicator can be determined using pathgroup change indicators or scenario change indicators. A design flow change indicator can be determined using design change indicators. | 08-04-2011 |
20110191738 | DENSITY-BASED AREA RECOVERY IN ELECTRONIC DESIGN AUTOMATION - Some embodiments provide techniques and systems for improving the efficiency of area recovery in an electronic design automation (EDA) flow. During operation, the system determines a utilization of a region from a set of regions in a design floorplan. Next, the system performs area recovery (e.g., by using a processor) on the region based at least on the utilization. Specifically, the system can overlay the design floorplan with a grid, wherein the grid comprises a set of grid cells and uses the grid cells as the set of regions. The grid can be associated with a predetermined number of rows and a predetermined number of columns. The system can determine the utilization of the region by calculating the utilization as a cell area of the region divided by a placement area of the region. The utilization can be incrementally calculated during the creation and optimization of the design. | 08-04-2011 |
20110191740 | ZONE-BASED OPTIMIZATION FRAMEWORK - Some embodiments of the present invention provide techniques and systems for efficiently optimizing a circuit design for one or more multi-mode multi-corner (MCMM) scenarios. A system can select an optimizing transformation for a logic gate, which if applied to the logic gate, does not degrade a timing metric in a local context of the logic gate. Next, the system can determine whether applying the optimizing transformation to the logic gate degrades the timing metric in a zone around the logic gate. If so, the system can reject the optimizing transformation. Otherwise, the system can determine whether applying the optimizing transformation to the logic gate degrades the timing metric in the circuit design. If so, the system can reject the optimizing transformation. Otherwise, the system can accept the optimizing transformation. | 08-04-2011 |
20110289464 | GLOBAL TIMING MODELING WITHIN A LOCAL CONTEXT - Some embodiments of the present invention provide techniques and systems for determining and using margin values. An arrival time at an output pin of a logic gate can be determined. Next, required times at the output pin of the logic gate can be determined. Each required time can be associated with a timing end-point in a path-group, affected by that pin. The system can then determine a first set of slack values at the output pin of the logic gate by computing a difference between the required times and the arrival time. Next, the system can determine a set of margin values at the output pin of the logic gate by computing a difference between the first set of slack values and a second set of slack values at the timing end-points in the path-groups. Next, the system can use the set of margin values to optimize the logic gate. | 11-24-2011 |
20120030641 | PERFORMING SCENARIO REDUCTION USING A DOMINANCE RELATION ON A SET OF CORNERS - Some embodiments of the present invention provide techniques and systems for performing scenario reduction using a dominance relation on a set of corners. During operation, the system can receive a design library which specifies gate characteristics at each corner in a set of corners. Next, the system can use the design library to determine a dominance relation on the set of corners for each gate type. The dominance relations can be stored with the design library. The system can then receive a set of scenarios over which a circuit design is to be optimized. Next, the system can determine a subset of the set of scenarios using one or more dominance relations on the set of corners. The system can then optimize the circuit design over the subset of the set of scenarios. | 02-02-2012 |
20120030642 | HYPER-CONCURRENT MULTI-SCENARIO OPTIMIZATION - Some embodiments of the present invention provide techniques and systems for performing aggressive and dynamic scenario reduction during different phases of optimization, e.g., during delay, area, leakage and DRC (design rule check) optimization. Specifically, essential scenarios at gates and timing end-points can be identified and then used during the dynamic scenario reduction process. In some embodiments, margin values associated with various constraints can be used to determine the set of essential scenarios to account for constrained objects that are near critical in addition to the constrained objects that are the worst violators. In some embodiments, at any point during the optimization process, only the set of essential scenarios are kept active, thereby substantially reducing runtime and memory requirements without compromising on the quality of results. | 02-02-2012 |
20130145331 | SEQUENTIAL SIZING IN PHYSICAL SYNTHESIS - Techniques and systems for optimizing a circuit design are described. In some embodiments, a sequential cell is selected for optimization. Next, the system iterates through a set of candidate sequential cells that are functionally equivalent to the sequential cell that is being optimized. The system evaluates the global timing impact of each candidate sequential cell in a highly efficient manner. For each candidate sequential cell that is evaluated, a non-timing metric and a timing metric for a candidate sequential cell are compared with the corresponding non-timing metric and timing metric for the current best sequential cell. If a candidate sequential cell improves the timing metric, or maintains the timing metric and has better non-timing metric(s), then the candidate sequential cell is stored as the current best sequential cell. Once the process completes, the current best sequential cell is the optimized cell size for the sequential cell. | 06-06-2013 |
20130145336 | PROGRESSIVE CIRCUIT EVALUATION FOR CIRCUIT OPTIMIZATION - Systems and techniques for optimizing a circuit design are described. Some embodiments reduce the number of gates in the library (e.g., by dynamically pruning the library) which are considered for optimization. Some embodiments create a linear delay model, and use the linear delay model instead of a non-linear delay model to substantially reduce the amount of computation required to check whether or not a particular replacement gate improves one or more metrics of the circuit design. Some embodiments determine an order for processing the gates in the library or for processing input pins of a gate to facilitate early rejection of a candidate gate in the library of gates. In some embodiments, the evaluation of the impact of a candidate gate transformation is done progressively and level-by-level only up to the point where the gate transformation degrades one or more metrics. | 06-06-2013 |
20130145337 | DELTA-SLACK PROPAGATION FOR CIRCUIT OPTIMIZATION - Systems and techniques for optimizing a circuit design are described. When a selected gate is transformed during optimization, it causes a slack value at a pin of the transformed gate to change. The change in the slack value, called the delta-slack, is then propagated through a transitive fanin cone and a transitive fanout cone of the transformed gate to compute the new slack values at all the affected pins of the design. Some embodiments update slack values without propagating arrival and required times, and also without repeatedly evaluating timing arcs to compute gate delays. The updated slack values can be used to compute timing metrics. The timing metrics can be used to decide whether or not to commit the gate transformation to the circuit design. | 06-06-2013 |
20130145338 | MODELING TRANSITION EFFECTS FOR CIRCUIT OPTIMIZATION - Systems and techniques are described for determining a transition-effect model for a timing arc of a library cell. A transition-effect model can be determined for each library cell that is used during an optimization process. The transition-effect models enable an optimization system to estimate the impact of a change in the transition at an output of a driver gate on the delays of downstream gates without requiring to propagate the change in the transition to the downstream gates. Once determined, the transition-effect models can be used to compute one or more transition-induced penalties during circuit optimization. An optimization system can then use the one or more transition-induced penalties to determine whether or not to accept an optimizing transformation, or to discretize a solution obtained from a numerical solver. | 06-06-2013 |
20130145339 | EFFICIENT TIMING CALCULATIONS IN NUMERICAL SEQUENTIAL CELL SIZING AND INCREMENTAL SLACK MARGIN PROPAGATION - Techniques and systems are described for improving the efficiency of timing calculations in numerical sequential cell sizing and for improving the efficiency of incremental slack margin propagation. Some embodiments cache timing-related information associated with a source driver that drives an input of a sequential cell that is being sized, and/or timing-related information for each output of the sequential cell that is being sized. The cached timing-related information for the source driver can be reused when sizing a different sequential cell. The cached timing-related information for the outputs of the sequential cell can be reused when evaluating alternatives for replacing the sequential cell. Some embodiments incrementally propagate slack margins in a lazy fashion (i.e., only when it is necessary to do so for correctness or accuracy reasons) while sizing gates in the circuit design in a reverse-levelized processing order. | 06-06-2013 |
20130283222 | NUMERICAL DELAY MODEL FOR A TECHNOLOGY LIBRARY CELL AND/OR A TECHNOLOGY LIBRARY CELL TYPE - Methods and systems for determining a numerical delay model based on one or more discretized delay models are described. A discretized delay model is a delay model in which the delay behavior is represented using a set of discrete data points of delay behavior. A numerical delay model is a delay model that can be used by a numerical solver to optimize a cost function. In general, computing delay using a numerical delay model is significantly faster than computing delay using discretized delay models. This performance improvement is important when optimizing a design for various metrics like timing, area and leakage power, because repeated delay computations are required in circuit optimization approaches. | 10-24-2013 |
20130318488 | EXCLUDING LIBRARY CELLS FOR DELAY OPTIMIZATION IN NUMERICAL SYNTHESIS - Methods and systems for excluding library cells are described. Some embodiments receive a generic logical effort value and optionally a generic parasitic delay value for a timing arc of a library cell type. Next, library cells of the library cell type are excluded whose specific logical effort values for the timing arc are greater than the generic logical effort value by more than a first threshold and/or optionally whose specific parasitic delay values for the timing arc are greater than the generic parasitic delay value by more than a second threshold. A new generic logical effort value and optionally a new generic parasitic delay value can be determined based on at least some of the remaining library cells. The process of excluding library cells and determining new generic logical effort values and optionally new generic parasitic delay values can be performed iteratively. | 11-28-2013 |
20130326449 | INCREMENTAL ELMORE DELAY CALCULATION - Systems and techniques for incrementally updating Elmore pin-to-pin delays are described. During operation, an embodiment receives a representation of a physical topology of a routed net that electrically connects a driver pin to a set of load pins. The embodiment then computes a set of incremental Elmore delay coefficients based on the representation. Next, using the Elmore delay coefficients, the embodiment computes a set of delays based on the representation, wherein each delay in the set of delays corresponds to a delay between the driver pin and a corresponding load pin in the set of load pins. As load pin capacitances change during circuit optimization, the set of incremental Elmore delay coefficients can then be used to update the delays between the driver pin and the load pins in a very computationally efficient manner. | 12-05-2013 |
20140007037 | ESTIMATING OPTIMAL GATE SIZES BY USING NUMERICAL DELAY MODELS | 01-02-2014 |
20140033161 | ACCURATE APPROXIMATION OF THE OBJECTIVE FUNCTION FOR SOLVING THE GATE-SIZING PROBLEM USING A NUMERICAL SOLVER - Systems and techniques are described for optimizing a circuit design by using a numerical solver. Some embodiments construct a set of lower bound expressions for a parameter that is used in an approximation of an objective function. Next, the embodiments evaluate the set of lower bound expressions to obtain a set of lower bound values. The embodiments then determine a maximum lower bound value from the set of lower bound values. Next, while solving a gate sizing problem using the numerical solver, the embodiments evaluate the approximate objective function and the partial derivatives of the approximate objective function by using the maximum lower bound value of the parameter. The maximum lower bound value of this parameter determines the accuracy of the approximation of the objective function. | 01-30-2014 |
20140033162 | DETERMINING OPTIMAL GATE SIZES BY USING A NUMERICAL SOLVER - Systems and techniques are described for optimizing a circuit design by using a numerical solver. The gates sizes are optimized by modeling a set of gate optimization problems and solving the set of gate optimization problems by using a numerical solver. Modeling each gate optimization problem can include selecting a portion of the circuit design that includes a driver gate that drives one or more inputs of each gate in a set of gates, and modeling a gate optimization problem for the portion of the circuit design based on circuit information for the portion of the circuit design. A differentiable objective function for delay can be created using numerical models for the delays in the circuit. In some embodiments, gradients of the differentiable objective function can be computed to enable the use of a conjugate-gradient-based numerical solver. | 01-30-2014 |
20140033163 | MODELING GATE SIZE RANGE IN A NUMERICAL GATE SIZING FRAMEWORK - Systems and techniques are described for optimizing a circuit design by using a numerical solver. The gates sizes are optimized by modeling a set of gate optimization problems and solving the set of gate optimization problems by using a numerical solver. The optimization can be performed iteratively, wherein in each iteration a gate optimization problem can be modeled for the portion of the circuit design based on circuit information for the portion of the circuit design. An objective function can be created, wherein the objective function includes at least one penalty function that imposes a lower and/or upper bound on at least one variable that is being optimized. In some embodiments, gradients of the objective function (which includes the penalty function) can be computed to enable the use of a conjugate-gradient-based numerical solver. | 01-30-2014 |
20140040851 | OPTIMIZING A CIRCUIT DESIGN FOR DELAY USING LOAD-AND-SLEW-INDEPENDENT NUMERICAL DELAY MODELS - Systems and techniques are described for optimizing a circuit design. Specifically, gate sizes in the circuit design are optimized by iteratively performing a set of operations that include, but are not limited to: selecting a portion of the circuit design (e.g., according to a reverse-levelized processing order), selecting an input-to-output arc of a driver gate in the portion of the circuit design, selecting gates in the portion of the circuit design for optimization, modeling a gate optimization problem based on the selected input-to-output arc of the driver gate and the selected gates, solving the gate optimization problem to obtain a solution using one or more solvers, and discretizing the solution. Discretizing the solution involves identifying library cells that exactly or closely match the gate sizes specified in the solution. These library cells can then be used to model other gate optimization problems in the current or subsequent iterations. | 02-06-2014 |
20140223400 | NUMERICAL DELAY MODEL FOR A TECHNOLOGY LIBRARY CELL TYPE - Methods and systems for determining a numerical delay model based on one or more discretized delay models are described. A discretized delay model is a delay model in which the delay behavior is represented using a set of discrete data points of delay behavior. A numerical delay model is a delay model that can be used by a numerical solver to optimize a cost function. In general, computing delay using a numerical delay model is significantly faster than computing delay using discretized delay models. This performance improvement is important when optimizing a design for various metrics like timing, area and leakage power, because repeated delay computations are required in circuit optimization approaches. | 08-07-2014 |
20150039664 | SOLVING A GATE-SIZING OPTIMIZATION PROBLEM USING A CONSTRAINTS SOLVER - Systems and techniques are described for solving a gate-sizing optimization problem using a constraints solver. Some embodiments can create a constraints problem based on a gate-sizing optimization problem for a portion of a circuit design. Specifically, the constraints problem can comprise a set of upper bound constraints that impose an upper bound on one or more variables that are used in the objective function of the gate-sizing optimization problem. Next, the embodiments can solve the gate-sizing optimization problem by repeatedly solving the constraints problem using a constraints solver. Specifically, prior to each invocation of the constraints solver, the upper bound can be increased or decreased based at least on a result returned by a previous invocation of the constraints solver. | 02-05-2015 |
20150040089 | NUMERICAL AREA RECOVERY - Systems and techniques are described for performing area recovery on a circuit design. Some embodiments can select a gate for area recovery in accordance with a reverse-levelized processing order, wherein an output pin of a driver gate is electrically coupled to an input pin of the gate. Next, the embodiment can determine a maximum delay value from an input pin of the driver gate to an output pin of the gate that does not create new timing requirement violations or worsen existing timing requirement violations at any of the timing endpoints of the circuit design. The embodiment can then downsize the gate based on the maximum delay value, wherein said downsizing comprises inputting the maximum delay value in a closed-form expression. Timing margin computation can be used to ensure that timing violations are not worsened when the embodiment recovers area from non-timing-critical regions of the circuit design. | 02-05-2015 |
20150040090 | DISCRETIZING GATE SIZES DURING NUMERICAL SYNTHESIS - Systems and techniques are described for discretizing gate sizes during numerical synthesis. Some embodiments can receive an optimal input capacitance value for an input of an optimizable cell, wherein the input capacitance value is determined by a numerical solver that is optimizing the circuit design. Note that the circuit design may be optimized for different objective functions, e.g., best delay, minimal area under delay constraints, etc. Next, the embodiments can identify an initial library cell in a technology library whose input capacitance value is closest to the optimal input capacitance value. The embodiments can then use the initial library cell to attempt to identify a better (in terms of the objective function that is being optimized) library cell in the technology library. The delay computations used during this process are also minimized. | 02-05-2015 |
20150040093 | ROBUST NUMERICAL OPTIMIZATION FOR OPTIMIZING DELAY, AREA, AND LEAKAGE POWER - Systems and techniques are described for performing numerical delay, area, and leakage power optimization on a circuit design. During operation, an embodiment can iteratively perform at least the following set of operations in a loop, wherein in each iteration a current threshold voltage value is progressively decreased: (a) perform numerical delay optimization on the circuit design using a numerical delay model that is generated using gates in a technology library whose threshold voltages are equal to the current threshold voltage; (b) perform a total negative slack based buffering optimization on the circuit design; and (c) perform a worst negative slack touchup optimization on the circuit design that uses gates whose threshold voltages are greater than or equal to the current threshold voltage. Next, the embodiment can perform combined area and leakage power optimization on the circuit design. The embodiment can then perform multiple iterations of worst negative slack touchup optimization. | 02-05-2015 |
20150040107 | SOLVING AN OPTIMIZATION PROBLEM USING A CONSTRAINTS SOLVER - Systems and techniques are described for solving an optimization problem using a constraints solver. A set of constraints that correspond to the optimization problem can be generated. Next, a set of upper bound constraints can be added to the set of constraints, wherein the set of upper bound constraints imposes an upper bound on one or more variables that are used in an objective function of the optimization problem. Next, the embodiments can iteratively perform the following set of operations on a computer: (a) solve the set of constraints using the constraints solver; (b) responsive to the constraints solver returning a solution, decrease the upper bound; and (c) responsive to the constraints solver indicating that no solutions exist or that the constraints solver timed out, increase the upper bound. The solution with the lowest upper bound value can be outputted as the optimal solution for the optimization problem. | 02-05-2015 |
Patent application number | Description | Published |
20080232373 | System and method for extending battery life - According to one embodiment of the invention, a method comprises determining whether a non-unicast message is to be transmitted by a transmitting device, which may involve a setting a Delivery Traffic Indicator Map (DTIM) Interval value to reflect a longer periodicity than associated with a Listen Interval. After such determination, the non-unicast message may be converted into one or more unicast messages for transmission. | 09-25-2008 |
20090113535 | Securely Virtualizating Network Services - Services in a network device are added through providing virtual environments. Virtualization allows services based on other platforms or architectures to be run with minimum modification and in a secure manner. Connecting services to the host through a stateful firewall allows dynamic integration, and passes only traffic of interest to the service. Virtualization allows services written for different instruction architectures to be supported. Multiple virtualized environments each supporting a service may be run. | 04-30-2009 |
20090156164 | Single Number Presentation for Dual-Mode Phones - Providing a single number presentation to the party called by a dual-mode phone. The operation of the cellular side of a dual-mode phone is altered such that when the user attempts to place an outgoing call using the cellular phone, the call is redirected to a preprogrammed incoming phone number associated with the enterprise. When the enterprise PBX answers this call, the dual-mode phone transmits the desired number to the enterprise PBX. The enterprise PBX then places the call to the desired number, and in the process transmits the caller-id information assigned to the dual-mode phone. | 06-18-2009 |
20090156175 | Single Voicemail For Dual-Mode Phones - Single voicemail for dual-mode phones. Functionality is added to a dual-mode phone such that the dual-mode phone when operating in cellular mode sends a predetermined signal when it answers an incoming call. An enterprise mobility controller, on forwarding a call to the cellular side of a dual-mode phone after failing to complete a Wi-Fi connection, starts a timer. If the mobility controller does not receive the predetermined signal before the timer expires, it assumes that the cellular call has been handed off to the cellular voicemail system, terminates the cellular call, and sends the call to the enterprise voicemail system. | 06-18-2009 |
20100195497 | Client Balancing in Wireless Networks - Client balancing in a wireless digital network comprising a plurality of access nodes connected to a controller. Access nodes collect client density information and periodically report that client density information to the controller. The controller uses the client density information from the access nodes to compute Virtual RF Neighborhoods, identifying Virtual RF neighboring access nodes. Two access nodes are Virtual RF neighbors if a client which can connect to one access node can also connect to the other access node. The controller then identifies which nodes are overloaded by comparing the client loading of a target access node to the client loading of its Virtual RF neighbors. If an access node is identified as overloaded and selected for client balancing on a particular channel, it will initially refuse new association requests from client devices on that channel. | 08-05-2010 |
20110122804 | SYSTEM AND METHOD FOR EXTENDING BATTERY LIFE - According to one embodiment of the invention, a method comprises identifying that a wireless receiving device is operating in a battery saving mode where a Delivery Traffic Indicator Map (DTIM) Interval supported by the wireless device exceeds a Listening Interval supported by the wireless receiving device. Thereafter, the method further comprises converting a non-unicast message into one or more unicast messages for transmission to the wireless receiving device. | 05-26-2011 |
20110281549 | SINGLE NUMBER PRESENTATION FOR DUAL-MODE PHONES - Providing a single number presentation to the party called by a dual-mode phone. The operation of the cellular side of a dual-mode phone is altered such that when the user attempts to place an outgoing call using the cellular phone, the call is redirected to a preprogrammed incoming phone number associated with the enterprise. When the enterprise PBX answers this call, the dual-mode phone transmits the desired number to the enterprise PBX. The enterprise PBX then places the call to the desired number, and in the process transmits the caller-id information assigned to the dual-mode phone. | 11-17-2011 |
20120166515 | Providing and Resolving an IP Address for Swarm-Based Services - Providing and maintaining an IP address for swarm-based services. A swarm is a group of digital devices operating cooperatively on a network, such as a group of wireless access points. Services may be distributed over members of the swarm, with each service having a master which is hosted on one member of the swarm. The master broadcasts a periodic heartbeat, advertising its service and the address of the host member. If a swarm member fails to hear a particular service's heartbeat for a predetermined interval, it broadcasts a message announcing its intent to be master for the service. If the swarm member does not receive any other broadcasts from members indenting to take the role of master for the service, it takes over the role of master for the service and begins broadcasting periodic heartbeats identifying itself as the service master. If multiple swam members broadcast their intent to become master of the same service, a resolution protocol is invoked and one swarm member is selected to be master. In operation, each member of the swarm maintains a table of services and the address of the swarm member hosting the service; this information is obtained from the periodic heartbeats broadcast by each service master. When a swarm member receives a service request, the request is either redirected to the service master, or the request is terminated at the swarm member and handled. | 06-28-2012 |
20120166519 | Provisioning a Swarm - Provisioning access points operating in a swarm. A swarm is a plurality of digital devices, such as access points, connected using a digital network and operating in a cooperative manner. When an access point (AP) is first powered up, it lacks provisioning information such as channel numbers, power levels, SSIDS, security settings, and so on. The process of supplying this information required to get the AP operating as part of a network is called provisioning. An unprovisioned AP in a swarm first attempts to obtain a DHCP address. Once it gets a DHCP address or selects an address such as from the link-address (169.254.xx.xx) group, it advertises a predetermined wireless SSID which is only used for provisioning the swarm. The swarm | 06-28-2012 |
20120166662 | HTTP Proxy based Captive Portal - HTTP-Based Captive Portal. Client requests through a device such as an access point or wired connection are routed through a captive portal switch (CPS). If the CPS determines that the client has not been authenticated, the CPS redirects (NATs) the client request to an internal HTTP proxy. The CPS HTTP proxy terminates the client request and opens a connection to a captive portal server. Thus, for an unauthenticated client, any HTTP request will be routed to the captive portal server. When client authentication at the captive portal server completes, the captive portal server returns a success code, such as embedded in a web page delivered to the client. When the CPS recognizes this success code, it disables the NAT for that client, allowing further requests to be passed through the network. The CPS may be hosted in a separate network appliance, or it may be a process hosted in the AP or on another AP in the network, or on a network device such as a controller or switch. | 06-28-2012 |
20120170455 | Client Balancing in Wireless Networks - Herein, a method directed to receiving, by a network device, client density data of a first access node in a plurality of access nodes in a network. Also, the network device receives client density data of a second access node in the plurality of access nodes. The network device determines whether the client density data of the first access node overlaps with the client density data of the second access node. In response to the received client density data of the first access node overlapping with the received client density data of the second access node, the network device identifies the first access node and the second access node as members of a virtual radio frequency (RF) neighborhood, wherein the virtual RF neighborhood comprises a subset of a RF neighborhood. Each member of the virtual RF neighborhood is capable of receiving beacons from other members of the virtual RF neighborhood. | 07-05-2012 |
20120243456 | Bridge Mode Firewall Mobility - Mobility of firewall rules for clients moving among bridge AP nodes in a wireless network. APs operate in bridge mode. A wireless client C is associated with a first AP. As part of that association, the first AP establishes and maintains personal firewall rules and state for client C. When wireless client C associates with a second AP in the L2 domain, the second AP sends session request to other APs. This may be in the form of a multicast message. Optionally, the second AP may send a unicast message to the first AP indicating that client C has associated with the second AP. APs receiving the multicast session request message for client C check their tables to see if they have stored firewall or other state for client C. APs having storied firewall or other state for client C send session response messages to the second AP containing stored firewall sessions and other state for client C. When the second AP receives a session response, it sends an acknowledgement to the AP which sent the response. When the AP, such as the first AP, receives the acknowledgement, it may remove all stored state for client C. If the second AP receives session response messages for client C from multiple APs, it acknowledges each, and creates session entries and state using the oldest rules in the session response messages. Flags may be logically ORed together. | 09-27-2012 |
20120275320 | SIGNAL STRENGTH AWARE BAND STEERING - A system or method that receives a current request from a client, and responds to the current request based on signal strength associated with a previous request. If the current request is received on a non-preferred communication band, and a previous request was received on a preferred communication band within a pre-determined time, the system determines whether the signal strength associated with the previous request is weaker than a pre-determined threshold signal strength level for the preferred communication band. If so, the system responds to the current request. Otherwise, the system ignores the current request. If no recent request on the preferred communication band is received, and the signal strength associated with the current request is weaker than a pre-determined threshold signal strength level for the non-preferred communication band, the system responds to the current request on the non-preferred communication band. Otherwise, the system ignores the current request. | 11-01-2012 |
20120278440 | Dynamic Transaction-Persistent Server Load Balancing - The present disclosure describes a system for dynamic transaction-persistent server load balancing. The disclosed system receives a client request associated with a new transaction. In response to receiving the client request, the system dynamically infers relative capacities of a plurality of servers coupled to the device in a network. In particular, the system maintains a set of variables corresponding to the servers. Each variable indicates a number of outstanding requests transmitted from the device to a respective server. The system infers relative server capacities and transmission latencies between the device and the servers based on a comparison of current values of the variables. The system identifies and selects a server associated with high capacity or low transmission latency between the device and the server relative to one or more other servers, and transmits an outstanding request corresponding to the client request from the new transaction to the identified server. | 11-01-2012 |
20130003654 | Mesh Node Role Discovery and Automatic Recovery - Embodiments of the present disclosure provide for configuring and managing mesh nodes during occasional failure of mesh nodes or addition of new mesh nodes. The disclosed system first determines whether a mesh node is a mesh portal or a mesh point. If it is a mesh portal, the mesh node will advertise its capacity as a mesh portal to other mesh nodes in the network. If it is a mesh point, the mesh node attempts to automatically recover connection to the wireless mesh network if it identifies a unique wireless network based on its associated network identifier. If more than one network identifiers are discovered, the mesh node delays establishing connection to the wireless mesh network until a selection is received. | 01-03-2013 |
20130007104 | Time Zone Adjustment in User Interface - Displaying time from a network device according to the time zone of the client. A network device keeps time to a first known time zone, such as UTC, and provides a web server. When a client device makes a request of the network device's webserver, the webserver returns an HTML document which includes code to extract the time zone offset from the client. This may be done for example using the javascript .getTime zone Offset( ) method, through the use of DLLs, or through other programming methods. The document also contains the time at the network device, which is displayed on the client device using the client time zone, and not using the clock or time information in the client device, only using the time zone offset in the client device. | 01-03-2013 |
20130007233 | Device Abstraction in Autonomous Wireless Local Area Networks - According to embodiments of the present disclosure, a managed network device assigns to itself an IP address, in absence of a DHCP service, in a link local address space within a wireless network. The system further responds to a network frame received from another device based on the assigned IP address in the link local address space. The network frame can be a network traffic frame, a control path frame, and/or a management frame. The control path frame comprises a source IP address and a destination IP address that correspond to internal IP addresses in the link local address space that are self-assigned by managed network devices. The management frame comprises the self-assigned internal IP address for the managed network device, and provides for management of managed network devices in the wireless network through a single IP address when a virtual controller is configured for the wireless network. | 01-03-2013 |
20130036188 | Infrastructure-Assisted Client Management using Synthesized Beacon Reports - Infrastructure-based client management uses synthesized beacon reports to effect client association with APs in a wireless digital network. Beacon reports are synthesized by having a plurality of APs in the network collect client reports and send those reports to a report process on the network. The report process accumulates client reports from the plurality of APs and selects one or more candidate APs to support a client. Selection may be made on reported SNR, AP loading, channel loading, AP characteristics, or a combination. The report process may produce blacklists and optionally whitelists for use by APs to use in processing client probe and connection requests. | 02-07-2013 |
20130080614 | Client Aware DHCP Lease Management - The management of DHCP address leased based on client properties is described. According to a method of operation, clients are managed in a wireless digital network by modifying a requested lease time for an assigned Internet Protocol (IP) address based on properties of a client requesting the lease time. The assigned IP address is released if (i) no communication activity is detected by the client for a prescribed duration or (ii) upon detecting a DHCP Release message from the client. When the DHCP server receives a renewal request, it checks if the client has generated more than a predetermined amount of traffic. If the client has generated traffic exceeding this threshold level, the lease is renewed for a longer period. If the client traffic has not met the threshold level, the lease is renewed with the short lease time. | 03-28-2013 |
20130089081 | Method and System for Advertising Channel Load - The present disclosure discloses a network device and method for advertising channel load. The disclosed network device aggregates a number of clients that are associated with the network device on a specific wireless communication channel, and advertises the aggregated number to a client on the specific wireless communication channel. Further, the network device can receive aggregated load information on the specific wireless communication channel from another management network device, and further aggregates the load information across multiple wireless networks operating on the same wireless communication channel and coupled to different management network devices. | 04-11-2013 |
20130100857 | Secure Hotspot Roaming - Secure hotspot roaming in wireless networks. An enterprise works with one or more hotspot providers to provide secure access to its clients through hotspot locations. The enterprise provides the (hotspot) service provider (SP), with the addresses of enterprise controllers used for client authentication. The SP maintains a database which maps the enterprise realm to the address of the enterprise controller. When a client connects to a hotspot access point (AP), the hotspot AP sends client information such as MAC address to a SP controller. The SP controller determines if the client is new or already known. If the client is known and the realm associated with the client has an entry in the realm to enterprise database, the hotspot AP is instructed to begin client authentication with the specified enterprise controller. If the client is unknown, authentication begins with the SP controller, and the client is queried for realm information. | 04-25-2013 |
20130111024 | Dynamic Walled Garden | 05-02-2013 |
20130111066 | Device and Method for Split DNS Communications | 05-02-2013 |
20130188625 | VLAN POOLING ENHANCEMENT - The present disclosure discloses a network device and/or method for enhanced VLAN pooling assignments in a wireless network. The disclosed network device receives a message from a wireless client in the wireless network. The network device identifies a virtual local area network (VLAN) based at least on a size of the VLAN and a number of active wireless clients associated with the VLAN. Next, the network device assigns the wireless client to the identified VLAN. In some embodiments, the network device assigns a wireless client to a VLAN if the radio between the number of active wireless clients and the size of the VLAN does not exceed a predetermined value. In some embodiments, the network device assigns a wireless client to a previously assigned VLAN or a VLAN corresponding to an identified home network device, if the wireless client is a roaming client. | 07-25-2013 |
20130201978 | Method and System for Partitioning Wireless Local Area Network - The present disclosure discloses a method and system for partitioning WLAN in order to separate network traffic from different WLANs. Specifically, a network device receives a packet from a client connected to a first network device on an access network. The network device then determines that the received packet is associated with a VLAN that is pre-configured on the first network device based on the access network to which the client is connected. Furthermore, the network device transmits the packet to a MAC layer switching device, which is not configured with the VLAN that is pre-configured on the network device. The packet includes one of a DHCP discovery message, an ARP request message, a unicast message, a multicast message, and a broadcast message. The unicast message will be transmitted to the second network device on the pre-configured VLAN prior to being transmitted to another network device outside the pre-configured VLAN. | 08-08-2013 |
20130201979 | Method and System for Partitioning Wireless Local Area Network - The present disclosure discloses a method and system for partitioning WLAN in order to separate network traffic from different WLANs. Specifically, a network device receives a packet from a client connected to a first network device on an access network. The network device then determines that the received packet is associated with a VLAN that is pre-configured on the first network device based on the access network to which the client is connected. Furthermore, the network device transmits the packet to a MAC layer switching device, which is not configured with the VLAN that is pre-configured on the network device. The packet includes one of a DHCP discovery message, an ARP request message, a unicast message, a multicast message, and a broadcast message. The unicast message will be transmitted to the second network device on the pre-configured VLAN prior to being transmitted to another network device outside the pre-configured VLAN. | 08-08-2013 |
20130268660 | Providing and Resolving an IP Address for Swarm-Based Services - According to one embodiment of the disclosure, a non-transitory computer readable medium (CRM) comprising instructions, which when executed by one or more hardware processors, causes performance of operations comprising: listening, by a first digital device in a group of digital devices, for any advertisement for a particular service; responsive to the first digital device not receiving any advertisement for the particular service for a predetermined period of time: transmitting, by the first digital device, a first advertisement for the particular service; and providing, by the first digital device, the particular service. | 10-10-2013 |
20130268666 | CAPTIVE PORTAL REDIRECTION USING DISPLAY LAYOUT INFORMATION - According to one embodiment of the invention, a method for controlling access to a network by a network device comprises returning a message prompting connectivity to a captive portal that is different from a HTTP Source Code redirect. The message is an HTML document such as a frameset. | 10-10-2013 |
20140003355 | Method and System to Configure Network Access Points | 01-02-2014 |
20140059218 | SYSTEM, APPARATUS AND METHOD FOR MANAGING CLIENT DEVICES WITHIN A WIRELESS NETWORK - According to one embodiment, a system and computer-readable storage medium for storage of software directed to the management of associations between network devices is described. The computer-readable storage medium comprising instructions which, when executed by at least one hardware processor on a network device, causes performance of operations, comprising: (1) determining a desired operating state for a client device under analysis, the client device being associated with a first network device of a plurality of network devices; (2) detecting if a triggering event has occurred based on a comparison of the desired operating state for the client device under analysis to an actual operating state for the client device under analysis; and (3) in response to detecting that the triggering event has occurred, causing the client device to wirelessly connect to a second network device of the plurality of network devices in lieu of the first network device. | 02-27-2014 |
20140173124 | Method and System for Causing a Client Device to Renew a Dynamic IP Address - A method includes terminating a connection between (a) a wireless network supported by a network device and (b) a client device. The method further includes rejecting one or more requests to establish the connection between the wireless network and the client device based at least on the one or more requests being received from the client device within a first period of time subsequent to the terminating operation and accepting a particular request to establish the connection between the wireless network and the client device based at least on the particular request being received from the client device after the first period of time subsequent to the terminating operation. | 06-19-2014 |
20140185485 | WIRELESS LINK MONITORING AND ACTIVE TROUBLESHOOTING - According to one embodiment of the invention, a method comprises transmitting a Layer 2 (L2) frame from a first wireless device. Upon receipt of a frame in response to the L2 frame, the first wireless device uses information conveyed in the frame to modify its functionality. | 07-03-2014 |
20140211716 | SIGNAL STRENGTH AWARE BAND STEERING - A system or method that receives a current request from a client, and responds to the current request based on signal strength associated with a previous request. If the current request is received on a non-preferred communication band, and a previous request was received on a preferred communication band within a pre-determined time, the system determines whether the signal strength associated with the previous request is weaker than a pre-determined threshold signal strength level for the preferred communication band. If so, the system responds to the current request. Otherwise, the system ignores the current request. If no recent request on the preferred communication band is received, and the signal strength associated with the current request is weaker than a pre-determined threshold signal strength level for the non-preferred communication band, the system responds to the current request on the non-preferred communication band. Otherwise, the system ignores the current request. | 07-31-2014 |
20140254362 | BALANCING LOAD ACROSS RADIO FREQUENCY CHANNELS - The present disclosure discloses a network device and method for advertising channel load. The disclosed network device aggregates a number of clients that are associated with the network device on a specific wireless communication channel, and advertises the aggregated number to a client on the specific wireless communication channel. Further, the network device can receive aggregated load information on the specific wireless communication channel from another management network device, and further aggregates the load information across multiple wireless networks operating on the same wireless communication channel and coupled to different management network devices. | 09-11-2014 |
20140282905 | SYSTEM AND METHOD FOR THE AUTOMATED CONTAINMENT OF AN UNAUTHORIZED ACCESS POINT IN A COMPUTING NETWORK - A method and apparatus for automatic containment of unauthorized access points in a computing network is described. The method may include receiving data indicative of at least a device identifier corresponding to an unauthorized access point. The method may also include, in response to locating the received device identifier in a listing of device identifiers that are associated with data transmissions through the network device, identifying a port of a network device as the port to which the unauthorized access point is connected. | 09-18-2014 |
20150032861 | Device Abstraction in Autonomous Wireless Local Area Networks - According to embodiments of the present disclosure, a managed network device assigns to itself an IP address, in absence of a DHCP service, in a link local address space within a wireless network. The system further responds to a network frame received from another device based on the assigned IP address in the link local address space. The network frame can be a network traffic frame, a control path frame, and/or a management frame. The control path frame comprises a source IP address and a destination IP address that correspond to internal IP addresses in the link local address space that are self-assigned by managed network devices. The management frame comprises the self-assigned internal IP address for the managed network device, and provides for management of managed network devices in the wireless network through a single IP address when a virtual controller is configured for the wireless network. | 01-29-2015 |
Patent application number | Description | Published |
20090252097 | BAND STEERING FOR MULTI-BAND WIRELESS CLIENTS - Band steering for multi-band wireless clients. In a wireless digital network having at least one central controller and a plurality of access nodes connected to the central controller, and wherein some of the access nodes support a preferred wireless band and at least one non-preferred wireless band, the central controller identifies wireless client devices capable of multi-band operation, and encourages them to connect to the preferred wireless band. Client devices may be identified as multi-band capable by tracking probe requests. The central controller keeps a list of multi-band capable clients, for example in a database. This information is provided to other central controllers, and to access nodes attached to the central controller. Multi-band capable clients are encouraged to connect on the preferred wireless band for example by having the access nodes not respond to probe requests on the non-preferred wireless bands. Connections made on the non-preferred wireless bands may be moved to the preferred wireless band. | 10-08-2009 |
20090258668 | ENTERPRISE LOCATION DISCOVERY IN DUAL-MODE PHONES - Enterprise location discovery in dual-mode phones. As dual-mode phones move within the enterprise Wi-Fi network, they track which cell tower they are associated with, reporting this information to an enterprise mobility controller. The enterprise mobility controller builds a list of cell tower identifiers which are associated with enterprise Wi-Fi coverage, and makes this list available to subscribing dual-mode phones. Subscribing dual-mode phones can use this list to only scan for Wi-FI availability when they are associated with a cell tower which is on the list. | 10-15-2009 |
20100113039 | WIRELESS MACRO CELL OVERLAY - Overlaying a Wireless Macro Cell architecture on a Micro Cell network. WLAN MAC Address Translation (WMAT) is used to translate BSSIDs from the BSSID used to initialize a radio in an access node and identify communications between the radio in the access node and a controller, and the BSSID used over the air for Macro Cell operation. WMAT is used for transmit operations, translating the BSSID of outgoing packets to the Macro Cell BSSID prior to wireless transmission. On the receive side, packets undergo WMAT and transmission to the controller if the STN MAC address of the sender is in an ACK table associated with the radio, or the packet is one of a predetermined type. The ACK table is managed by transmit operations, and by control commands from the controller. | 05-06-2010 |
20100275017 | Peer-to-Peer Forwarding for Packet-Switched Traffic - Establishing peer-to-peer tunnels between clients in a mobility domain. In normal operation, clients attached to a network having access nodes connected to a central controller transfer all traffic through the central controller. This traffic is passed using tunnels between the access node and the central controller. Tunnels may be encrypted, and GRE tunnels may be used. A mobility manager operating in the controller tracks access nodes connected to the controller, and clients connected to those access nodes. When the mobility controller recognizes traffic passing between clients in its mobility domain that is eligible for peer-to-peer forwarding, it instructs the access nodes supporting the clients to establish a peer-to-peer tunnel between the nodes, and direct the client traffic through this peer-to-peer tunnel. The peer-to-peer tunnel may be session based, or may be aged. Eligibility of traffic for peer-to-peer tunnels may be controlled by rules, such as limiting peer-to-peer tunnels by source or destination, by port or protocol, and the like. | 10-28-2010 |
20100281180 | Initiating Peer-to-Peer Tunnels - Initiating peer-to-peer tunnels between clients in a mobility domain. Client traffic in a mobility domain normally passes from the initiating client to an access node, and from the access node through a tunnel to a controller, and then through another tunnel from the controller to the destination access node, and the destination client. When initiated by the controller, the access nodes establish a peer-to-peer tunnel for suitable client traffic, bypassing the “slow” tunnels through the controller with a “fast” peer-to-peer tunnel. Traffic through this “fast” tunnel may be initiated once the tunnel is established, or traffic for the “fast” tunnel may be queued up until traffic has completed passing through the “slow” tunnel. This queue and release process may be bidirectional or unidirectional depending on the traffic. Completion of slow tunnel traffic may be sensed in a number of ways. Slow tunnel traffic may be timed out, and queued traffic released after a preset time since the last packet was sent through the slow tunnel. The identity of the last packet sent through the slow tunnel may be retained, and queued traffic released when an acknowledgement for that packet is received. A special packet may be sent through the slow tunnel and queued traffic released when an acknowledgement for that packet is received. | 11-04-2010 |
20110103230 | Balancing Clients Across Bands in a Single Access Point - Balancing client load on an access point in a wireless digital network. An access point tracks the number of connected users and accepts new connections only if the number of connected users is below a preset threshold. The number of connected users may be tracked on an access point wide basis, a per-band basis, a per-BSSID basis, or a combination. Similarly, accepting new connections may be done on an access point wide basis, a per-band basis, a per-BSSID basis, on the basis of client 802.11 capabilities, or a combination. | 05-05-2011 |
20110170528 | System and Method for Advertising the Same Service Set Identifier for Different Basic Service Sets - According to one embodiment of the invention, a method comprises advertising services by a first wireless device. The services are provided by different basic service sets, each basic service set having the same service set identifier (SSID). Thereafter, one of the basic service sets is selected. | 07-14-2011 |
20110235591 | BAND STEERING FOR MULTI-BAND WIRELESS CLIENTS - Band steering for multi-band wireless clients. In a wireless digital network having at least one central controller and a plurality of access nodes connected to the central controller, and wherein some of the access nodes support a preferred wireless band and at least one non-preferred wireless band, the central controller identifies wireless client devices capable of multi-band operation, and encourages them to connect to the preferred wireless band. Client devices may be identified as multi-band capable by tracking probe requests. The central controller keeps a list of multi-band capable clients, for example in a database. This information is provided to other central controllers, and to access nodes attached to the central controller. Multi-band capable clients are encouraged to connect on the preferred wireless band for example by having the access nodes not respond to probe requests on the non-preferred wireless bands. Connections made on the non-preferred wireless bands may be moved to the preferred wireless band. | 09-29-2011 |
20110258236 | Secure Hotspot Roaming - Secure hotspot roaming in wireless networks. An enterprise works with one or more hotspot providers to provide secure access to its clients through hotspot locations. The enterprise provides the hotspot provider, or service provider (SP), with the addresses of enterprise controllers used for client authentication. The SP maintains a database for its controllers which maps the enterprise realm to the address of the enterprise controller. When a client connects to a hotspot access point (AP), the hotspot AP sends client information such as MAC address to a SP controller. The SP controller determines if this is a new or a known client by looking up the client information in a local client to realm database. If the client is known and the realm associated with the client has an entry in the realm to enterprise controller database, the hotspot AP is instructed to begin client authentication with the specified enterprise controller. If the client is not known, authentication begins with the SP controller, and the client is queried for realm information. An entry is made in the SP controller's client to realm database for the client. If a corresponding record is present in the realm to enterprise database, the SP controller instructs the hotspot AP to dynamically switch authentication from the SP controller to the enterprise controller. The realm to enterprise database may also be placed on the hotspot AP, so that the hotspot AP may determine if the client should be passed to an enterprise controller and begin authentication with the enterprise controller directly. | 10-20-2011 |
20110258681 | SYSTEM AND METHOD FOR MONITORING AND ENFORCING POLICY WITHIN A WIRELESS NETWORK - In general, one embodiment of the invention is a air monitor adapted to a wireless network. The air monitor enforces policies followed by the wireless network even though it is not involved in the exchange of data between wireless devices of the wireless network such as access points and wireless stations. | 10-20-2011 |
20110258696 | System and Method for Centralized Station Management - In one embodiment of the invention, a wireless network is adapted with a wireless network switch in communication with a plurality of access points, which are in communication with one or more stations. Coupled to the access points over an interconnect, the wireless network switch is adapted to receive a DEAUTHENTICATION message sent by one of the plurality of access points in the same coverage area of the station so as to detect the DEAUTHENTICATION message and to block communications between the plurality of access points and the station in response to determining that the DEAUTHENTICATION message is invalid. | 10-20-2011 |
20120020344 | WIRELESS MACRO CELL OVERLAY - Overlaying a Wireless Macro Cell architecture on a Micro Cell network. WLAN MAC Address Translation (WMAT) is used to translate BSSIDs from the BSSID used to initialize a radio in an access node and identify communications between the radio in the access node and a controller, and the BSSID used over the air for Macro Cell operation. WMAT is used for transmit operations, translating the BSSID of outgoing packets to the Macro Cell BSSID prior to wireless transmission. On the receive side, packets undergo WMAT and transmission to the controller if the STN MAC address of the sender is in an ACK table associated with the radio, or the packet is one of a predetermined type. The ACK table is managed by transmit operations, and by control commands from the controller. | 01-26-2012 |
20120071162 | ENTERPRISE LOCATION DISCOVERY IN DUAL-MODE PHONES - As dual-mode phones move within a wireless network, they track which cell tower they are associated with, reporting this information to a mobility controller. The mobility controller builds a list of cell tower identifiers which are associated with the wireless coverage, and makes this list available to subscribing dual-mode phones. Subscribing dual-mode phones can use this list to scan for network availability when they are associated with a cell tower which is on the list. | 03-22-2012 |
20120072532 | Initiating Peer-to-Peer Tunnels - Client traffic normally passes from a client to an access node, and from the access node through a tunnel to a controller, and then through another tunnel from the controller to the destination access node, and the destination client. When initiated by the controller, the access nodes establish a peer-to-peer tunnel for suitable client traffic, bypassing the “slow” tunnels through the controller with a “fast” peer-to-peer tunnel. Traffic through this “fast” tunnel may be initiated once the tunnel is established, or traffic for the “fast” tunnel may be queued up until traffic has completed passing through the “slow” tunnel. Slow tunnel traffic may be timed out, and queued traffic released after a preset time since the last packet was sent through the slow tunnel. The identity of the last packet sent through the slow tunnel may be retained, and queued traffic released when an acknowledgement for that packet is received. | 03-22-2012 |
20120213159 | System and Method for Centralized Station Management - A wireless network is adapted with a wireless network switch in communication with a plurality of access points, which are in communication with one or more stations. Specifically, a network device may receive a plurality of request messages on a plurality of wireless communication channels through a plurality of access points. The request messages are originated from a single station. Furthermore, the network can extract a plurality of channel identifiers from the request messages, and generates a filtered radio frequency neighborhood list dynamically based on the extracted channel identifiers. | 08-23-2012 |
20120218931 | System and Method for Centralized Station Management - A wireless network is adapted with a wireless network switch in communication with a plurality of access points, which are in communication with one or more stations. Specifically, a network device may receive a multicast or broadcast message. Furthermore, the network device will transmit the multicast or broadcast message if at least one station is associated with the network device, and will discontinue transmitting the multicast or broadcast message if no station is associated with the network device. | 08-30-2012 |
20120243474 | BAND STEERING FOR MULTI-BAND WIRELESS CLIENTS - Band steering for multi-band wireless clients. According to one embodiment of the invention, a method of operations comprises receiving, at a network device, a request from a wireless client device on a first wireless communication band. Thereafter, the network device identifies a unique address identifier associated with the wireless client device based on the received request and stores the unique address identifier in response to determining that the wireless client device is capable of communicating on the first wireless communication band. | 09-27-2012 |
20130148581 | SYSTEM AND METHOD FOR ADVERTISING THE SAME SERVICE SET IDENTIFIER FOR DIFFERENT BASIC SERVICE SETS - According to one embodiment of the invention, a method comprises advertising services. The services are provided by different basic service sets, each basic service set having the same service set identifier (SSID). Thereafter, one of the basic service sets is selected. | 06-13-2013 |
20130265943 | SYSTEM AND METHOD FOR ADVERTISING THE SAME SERVICE SET IDENTIFIER FOR DIFFERENT BASIC SERVICE SETS - According to one embodiment of the invention, a method comprises advertising services. The services are provided by different basic service sets, each basic service set having the same service set identifier (SSID). Thereafter, one of the basic service sets is selected. | 10-10-2013 |
20140140275 | System and Method For Advertising The Same Service Set Identifier For Different Basic Service Sets - According to one embodiment of the invention, a method comprises advertising services. The services are provided by different basic service sets, each basic service set having the same service set identifier (SSID). Thereafter, one of the basic service sets is selected. | 05-22-2014 |
20140280733 | INITIATING PEER-TO-PEER TUNNELS - Initiating peer-to-peer tunnels between clients in a mobility domain. Client traffic in a mobility domain normally passes from the initiating client to an access node, and from the access node through a tunnel to a controller, and then through another tunnel from the controller to the destination access node, and the destination client. When initiated by the controller, the access nodes establish a peer-to-peer tunnel for suitable client traffic, bypassing the “slow” tunnels through the controller with a “fast” peer-to-peer tunnel. Traffic through this “fast” tunnel may be initiated once the tunnel is established, or traffic for the “fast” tunnel may be queued up until traffic has completed passing through the “slow” tunnel. | 09-18-2014 |
20140289338 | INITIATING PEER-TO-PEER TUNNELS - Initiating peer-to-peer tunnels between clients in a mobility domain. Client traffic in a mobility domain normally passes from the initiating client to an access node, and from the access node through a tunnel to a controller, and then through another tunnel from the controller to the destination access node, and the destination client. When initiated by the controller, the access nodes establish a peer-to-peer tunnel for suitable client traffic, bypassing the “slow” tunnels through the controller with a “fast” peer-to-peer tunnel. Traffic through this “fast” tunnel may be initiated once the tunnel is established, or traffic for the “fast” tunnel may be queued up until traffic has completed passing through the “slow” tunnel. | 09-25-2014 |
20140362696 | SYSTEM AND METHOD FOR ADAPTIVE CHANNEL SCANNING WITHIN A WIRELESS NETWORK - An access point device that is adapted to a wireless network and a wired network is disclosed. The access point includes a transceiver to receive wireless frames from a plurality of wireless devices of the wireless network, respectively, and at least one component to process information extracted from the wireless frames and to control channel scanning based upon at least one of an amount of wireless device traffic and a type of call. | 12-11-2014 |
20140369337 | SYSTEM AND METHOD FOR ADAPTIVE CHANNEL SCANNING WITHIN A WIRELESS NETWORK - An access point device that is adapted to a wireless network and a wired network is disclosed. The access point includes a transceiver to receive wireless frames from a plurality of wireless devices of the wireless network, respectively, and at least one component to process information extracted from the wireless frames and to control channel scanning based upon at least one of an amount of wireless device traffic and a type of call. | 12-18-2014 |
Patent application number | Description | Published |
20100017262 | PREDICTING SELECTION RATES OF A DOCUMENT USING CLICK-BASED TRANSLATION DICTIONARIES - The subject matter disclosed herein relates to predicting selection rates of web-based documents in response to a search query. | 01-21-2010 |
20110131157 | SYSTEM AND METHOD FOR PREDICTING CONTEXT-DEPENDENT TERM IMPORTANCE OF SEARCH QUERIES - An improved system and method for identifying context-dependent term importance of queries is provided. A query term importance model is learned using supervised learning of context-dependent term importance for queries and is then applied for advertisement prediction using term importance weights of query terms as query features. For instance, a query term importance model for query rewriting may predict rewritten queries that match a query with term importance weights assigned as query features. Or a query term importance model for advertisement prediction may predict relevant advertisements for a query with term importance weights assigned as query features. In an embodiment, a sponsored advertisement selection engine selects sponsored advertisements scored by a query term importance engine that applies a query term importance model using term importance weights as query features and inverse document frequency weights as advertisement features to assign a relevance score. | 06-02-2011 |
20110131205 | SYSTEM AND METHOD TO IDENTIFY CONTEXT-DEPENDENT TERM IMPORTANCE OF QUERIES FOR PREDICTING RELEVANT SEARCH ADVERTISEMENTS - An improved system and method for identifying context-dependent term importance of queries is provided. A query term importance model is learned using supervised learning of context-dependent term importance for queries and is then applied for advertisement prediction using term importance weights of query terms as query features. For instance, a query term importance model for query rewriting may predict rewritten queries that match a query with term importance weights assigned as query features. Or a query term importance model for advertisement prediction may predict relevant advertisements for a query with term importance weights assigned as query features. In an embodiment, a sponsored advertisement selection engine selects sponsored advertisements scored by a query term importance engine that applies a query term importance model using term importance weights as query features and inverse document frequency weights as advertisement features to assign a relevance score. | 06-02-2011 |
20110246286 | CLICK PROBABILITY WITH MISSING FEATURES IN SPONSORED SEARCH - Sponsored search advertising utilizes a click probability as one factor in selecting and ranking advertisements that are displayed with search results. The probability of click may also be referred to as a predicted click-through rate (“CTR”) that may be multiplied by an advertiser's bid for a particular advertisement to rank the display of advertisements. An accurate prediction of the click probability improves the potential revenue that is generated by advertisements in a pay per click system. Other advertising systems may benefit from an accurate and reliable estimate for an advertisement's probability of click in different environments and scenarios. | 10-06-2011 |
20120022952 | Using Linear and Log-Linear Model Combinations for Estimating Probabilities of Events - A method for combining multiple probability of click models in an online advertising system into a combined predictive model, the method commencing by receiving a feature set slice (e.g. corresponding to demographics or taxonomies or clusters), and using the sliced data for training multiple slice-wise predictive models. The trained slice-wise predictive models are combined by overlaying a weighted distribution model over the trained slice-wise predictive models. The combined predictive model then is used in predicting the probability of a click given a query-advertisement pair in online advertising. The method can flexibly receive slice specifications, and can overlay any one or more of a variety of distribution models, such as a linear combination or a log-linear combination. Using an appropriate weighted distribution model, the combined predictive model reliably yields predictive estimates of occurrence of click events that are at least as good as the best predictive model in the slice-wise predictive model set. | 01-26-2012 |
20120023043 | Estimating Probabilities of Events in Sponsored Search Using Adaptive Models - A machine-learning method for estimating probability of a click event in online advertising systems by computing and comparing an aggregated predictive model (a global model) and one or more data-wise sliced predictive models (local models). The method comprises receiving training data having a plurality of features stored in a feature set and constructing a global predictive model that estimates the probability of a click event for the processed feature set. Then, partitioning the global predictive model into one or more data-wise sliced training sets for training a local model from each of the data-wise slices, and then determining whether a particular local model estimates probability of click event for the feature set better than the global model. A given feature set may be collected from historical data, and may comprise a feature vector for a plurality of query-advertisement pairs and a corresponding indicator that represents a click on the advertisement. | 01-26-2012 |
20120290509 | Training Statistical Dialog Managers in Spoken Dialog Systems With Web Data - Training for a statistical dialog manager may be provided. A plurality of log data associated with an intent may be received, and at least one step associated with completing the intent according to the plurality of log data may be identified. An understanding model associated with the intent may be created, including a plurality of queries mapped to the intent. In response to receiving a natural language query from a user that is associated with the intent a response to the user may be provided according to the understanding model. | 11-15-2012 |
20130275235 | USING LINEAR AND LOG-LINEAR MODEL COMBINATIONS FOR ESTIMATING PROBABILITIES OF EVENTS - A system for determining predictive models associated with online advertising can include a communications interface, a processor, and a display. The communications interface can be configured to receive a partial dataset. The partial dataset may include user information. The processor can be communicatively coupled to the communications interface and configured to identify the partial dataset. The processor can also be configured to determine a first predictive model corresponding to at least part of the partial dataset and a second predictive model by combining a probability distribution with the first predictive model. The display can be communicatively coupled to the processor and configured to display the second predictive model. | 10-17-2013 |
20140019462 | CONTEXTUAL QUERY ADJUSTMENTS USING NATURAL ACTION INPUT - Within the field of computing, many scenarios involve queries formulated by users resulting in query results presented by a device. The user may request to adjust the query, but many devices can only process requests specified in a well-structured manner, such as a set of recognized keywords, specific verbal commands, or a specific manual gesture. The user thus communicates the adjustment request in the constraints of the device, even if the query is specified in a natural language. Presented herein are techniques for enabling users to specify query adjustments with natural action input (e.g., natural-language speech, vocal inflection, and natural manual gestures). The device may be configured to evaluate the natural action input, identify the user's intended query adjustments, generate an adjusted query, and present an adjusted query result, thus enabling the user to interact with the device in a similar manner as communicating with an individual. | 01-16-2014 |
20140059030 | Translating Natural Language Utterances to Keyword Search Queries - Natural language query translation may be provided. A statistical model may be trained to detect domains according to a plurality of query click log data. Upon receiving a natural language query, the statistical model may be used to translate the natural language query into an action. The action may then be performed and at least one result associated with performing the action may be provided. | 02-27-2014 |
20140180676 | NAMED ENTITY VARIATIONS FOR MULTIMODAL UNDERSTANDING SYSTEMS - Click logs are automatically mined to assist in discovering candidate variations for named entities. The named entities may be obtained from one or more sources and include an initial list of named entities. A search may be performed within one or more search engines to determine common phrases that are used to identify the named entity in addition to the named entity initially included in the named entity list. Click logs associated with results of past searches are automatically mined to discover what phrases determined from the searches are candidate variations for the named entity. The candidate variations are scored to assist in determining the variations to include within an understanding model. The variations may also be used when delivering responses and displayed output in the SLU system. For example, instead of using the listed named entity, a popular and/or shortened name may be used by the system. | 06-26-2014 |
Patent application number | Description | Published |
20120002813 | MULTI-SERVICE VPN NETWORK CLIENT FOR MOBILE DEVICE - An integrated, multi-service network client for cellular mobile devices is described. The multi-service network client can be deployed as a single software package on cellular mobile network devices to provide integrated services including secure enterprise virtual private network (VPN) connectivity, acceleration, security management including monitored and enforced endpoint compliance, and collaboration services. Once installed on the cellular mobile device, the multi-service client integrates with an operating system of the device to provide a single entry point for user authentication for secure enterprise connectivity, endpoint security services including endpoint compliance with respect to anti-virus and spyware software, and comprehensive integrity checks. That is, the multi-service client provides a common user interface to the integrated services, and provides a VPN handler that interfaces with the operating system to provide an entry point for network traffic to which the integrated services can be seamlessly applied. | 01-05-2012 |
20120002814 | VPN NETWORK CLIENT FOR MOBILE DEVICE HAVING DYNAMICALLY CONSTRUCTED DISPLAY FOR NATIVE ACCESS TO WEB MAIL - An integrated, multi-service network client for cellular mobile devices is described. The multi-service network client can be deployed as a single software package on cellular mobile network devices to provide integrated services including secure enterprise virtual private network (VPN) connectivity, acceleration, security management including monitored and enforced endpoint compliance, and collaboration services. The VPN network client is programmed to receive a web-based home page from an enterprise VPN appliance, process the web-based home page to identify a bookmark embedded within the response that corresponds to an enterprise webmail for the user and dynamically construct a user interface to have an input control native to the cellular mobile device for launching a native email client of the cellular mobile device to access the email without launching a web browser. | 01-05-2012 |
20120002815 | VPN NETWORK CLIENT FOR MOBILE DEVICE HAVING FAST RECONNECT - A virtual private network client for cellular mobile devices is described. The VPN network client establishes a secure VPN connection with a remote VPN security device. The VPN network client establishes a secure control channel with the secure VPN gateway and, upon a successful authentication, receives a session cookie with a unique identifier. In the event communication with the secure VPN gateway is subsequently temporarily lost, the VPN network client performs a fast reconnect without requiring re-authentication of the cellular mobile device by communicating the session cookie to the secure VPN gateway. Prior to performing the fast reconnect, the VPN network client identifies a set of transport mechanisms currently available to the cellular mobile device and, when only a cellular network is available and not a wireless packet-based connection, the VPN network client defers the fast reconnect until application-layer data is received from a user application and is ready to be sent to the remote VPN security device via the VPN connection | 01-05-2012 |
20120005476 | MULTI-SERVICE VPN NETWORK CLIENT FOR MOBILE DEVICE HAVING INTEGRATED ACCELERATION - An integrated, multi-service virtual private network (VPN) network client for cellular mobile devices is described. The multi-service network client can be deployed as a single software package on cellular mobile network devices to provide integrated services including secure enterprise VPN connectivity, acceleration, security management including monitored and enforced endpoint compliance, and collaboration services. The multi-service client integrates with an operating system of the device to provide a VPN handler to establish a VPN connection with a remote VPN security device. The VPN network client includes to data acceleration module exchange network packets with the VPN handler and apply at least one acceleration service to the network packets, and a VPN control application that provides a unified user interface that allows a user to configure both the VPN handler and the data acceleration module. | 01-05-2012 |
20120005477 | MULTI-SERVICE VPN NETWORK CLIENT FOR MOBILE DEVICE HAVING DYNAMIC FAILOVER - An integrated, multi-service network client for cellular mobile devices is described. The multi-service network client can be deployed as a single software package on cellular mobile network devices to provide integrated services including secure enterprise virtual private network (VPN) connectivity, acceleration, security management including monitored and enforced endpoint compliance, and collaboration services. Once installed on the cellular mobile device, the multi-service client establishes the VPN connection to concurrently include both a layer three (L3) tunnel that uses a first type of transport layer protocol of the operating system and a layer four (L4) tunnel that uses a second type of transport layer protocol of the operating system. The VPN handler determines whether network ports associated with the L3 tunnel are unblocked by an operating system and, when the network ports are unblocked, automatically transitions from the L4 tunnel to the L3 tunnel without terminating the VPN connection. | 01-05-2012 |
20120005745 | VPN NETWORK CLIENT FOR MOBILE DEVICE HAVING DYNAMICALLY TRANSLATED USER HOME PAGE - A virtual private network (VPN) client for cellular mobile devices is described. The VPN network client processes network packets for securely tunneling the network packets between the cellular mobile device and the remote VPN security device. Upon establishing the VPN connection, the VPN network client receives a web-based home page from the secure VPN device via a secure response, dynamically parses bookmark links from the secure response and renders a bookmark window using input controls native to the cellular mobile device without invoking a web browser on the cellular mobile device. Each of the input controls corresponds to a different one of the bookmarks parsed from the secure response. Upon selection of one of the input controls, the VPN network client formulates and outputs an appropriate request to the secure VPN device as if a corresponding one of the bookmark links were selected by the user. | 01-05-2012 |
20120005746 | DUAL-MODE MULTI-SERVICE VPN NETWORK CLIENT FOR MOBILE DEVICE - An integrated, multi-service network client for cellular mobile devices is described. The multi-service client includes a VPN handler having an interface programmed to exchange the network packets with the security manager for application of the security service, wherein the VPN handler is configurable to operate in one of an enterprise mode and in a non-enterprise mode, wherein in the enterprise mode the VPN handler establishes a VPN connection with a remote VPN security device and provides encryption services to securely tunnel the network packets between the cellular mobile device and the remote VPN security device, and wherein in the non-enterprise mode the VPN handler directs the network packets to the security manager without application of the encryption services and communicates the network packets to a packet-based network without tunneling the packets. | 01-05-2012 |
20120159607 | MULTI-SERVICE VPN NETWORK CLIENT FOR MOBILE DEVICE - An integrated, multi-service network client for cellular mobile devices is described. The multi-service network client can be deployed as a single software package on cellular mobile network devices to provide integrated services including secure enterprise virtual private network (VPN) connectivity, acceleration, security management including monitored and enforced endpoint compliance, and collaboration services. Once installed on the cellular mobile device, the multi-service client integrates with an operating system of the device to provide a single entry point for user authentication for secure enterprise connectivity, endpoint security services including endpoint compliance with respect to anti-virus and spyware software, and comprehensive integrity checks. That is, the multi-service client provides a common user interface to the integrated services, and provides a VPN handler that interfaces with the operating system to provide an entry point for network traffic to which the integrated services can be seamlessly applied. | 06-21-2012 |
20130205361 | DYNAMIC THREAT PROTECTION IN MOBILE NETWORKS - In general, techniques are described for dynamic threat protection in mobile networks. A network system comprising a network security device and a management system may implement the techniques. The management system includes a network server having a shared database. A mobile device manager (MDM) of the management system receives a report message from a mobile device, specifying a threat to a mobile network. The MDM publishes the threat to the shared database. A network management system (NMS) of the management system receives data from the shared database identifying the threat and generates a security policy that specifies actions to address the threat. The NMS then installs the security policy in the network security device so that the network security device performs the actions of the security policy to address the threat. | 08-08-2013 |
20140029750 | MULTI-SERVICE VPN NETWORK CLIENT FOR MOBILE DEVICE HAVING INTEGRATED ACCELERATION - An integrated, multi-service virtual private network (VPN) network client for cellular mobile devices is described. The multi-service network client can be deployed as a single software package on cellular mobile network devices to provide integrated services including secure enterprise VPN connectivity, acceleration, security management including monitored and enforced endpoint compliance, and collaboration services. The multi-service client integrates with an operating system of the device to provide a VPN handler to establish a VPN connection with a remote VPN security device. The VPN network client includes to data acceleration module exchange network packets with the VPN handler and apply at least one acceleration service to the network packets, and a VPN control application that provides a unified user interface that allows a user to configure both the VPN handler and the data acceleration module. | 01-30-2014 |
Patent application number | Description | Published |
20100155329 | SYSTEMS AND METHODS FOR FORWARD OSMOSIS FLUID PURIFICATION - A process for purification of fluids, for example, desalination of seawater or brackish water, using organic solutes in a concentrated water solution for use in a forward osmosis process, to extract fresh water out of salt water through the forward osmosis membrane, and subsequently separating the organic solutes out of the diluted forward osmosis permeate by cloud point extraction, thereby regenerating a concentrated organic solution for recycling to the forward osmosis process, and fresh water for potable water use. | 06-24-2010 |
20100156353 | LITHIUM NANOPARTICLE COMPOSITIONS FOR USE IN ELECTROCHEMICAL APPLICATIONS - Nanoscale lithium compositions are disclosed which are suitable for use in electrochemical applications such as electrodes and batteries. The compositions can include nanoparticles having lithium metal and/or lithium alloy cores. A shell material is contemplated comprising lithium nitride or another material that conducts lithium ions. Methods of preparing lithium compositions and methods of preparing electrodes comprising lithium compositions are further disclosed. The crystal structure of the nanoscale lithium compositions is preferably body centered cubic, allowing low volume expansion and high diffusivity of lithium from or into the core structures during discharge and charge processes, respectively. | 06-24-2010 |
20120018365 | SYSTEMS AND METHODS FOR FORWARD OSMOSIS FLUID PURIFICATION - A process for purification of fluids, for example, desalination of seawater or brackish water, using organic solutes in a concentrated water solution for use in a forward osmosis process, to extract fresh water out of salt water through the forward osmosis membrane, and subsequently separating the organic solutes out of the diluted forward osmosis permeate by cloud point extraction, thereby regenerating a concentrated organic solution for recycling to the forward osmosis process, and fresh water for potable water use. | 01-26-2012 |
20120267297 | SYSTEMS AND METHODS FOR FORWARD OSMOSIS FLUID PURIFICATION USING CLOUD POINT EXTRACTION - A process for purification of fluids, for example, desalination of seawater or brackish water, using organic solutes in a concentrated water solution for use in a forward osmosis process, to extract fresh water out of salt water through the forward osmosis membrane, and subsequently separating the organic solutes out of the diluted forward osmosis permeate by cloud point extraction, thereby regenerating a concentrated organic solution for recycling to the forward osmosis process, and fresh water for potable water use. | 10-25-2012 |
20130032538 | SYSTEMS AND METHODS FOR FORWARD OSMOSIS FLUID PURIFICATION - A method of purifying high temperature water utilizing forward osmosis is described comprising exposing the fluid to a semi-permeable membrane comprising a material capable of functioning effectively at 70-85° C., the membrane comprising a feed-solution-facing surface and a draw-solution-facing surface; directing a draw solution comprising at least one organic liquid solute and a solvent to the draw-solution-facing surface of the semi-permeable membrane, such that a quantity of the fluid is drawn by osmotic pressure across the semi-permeable membrane into the draw solution, leading to said fluid having a higher concentration of dissolved solids than prior to exposure to the semi-permeable membrane, and leading to the draw solution being more diluted than prior to being directed to the semi-permeable membrane; and boiling the draw solution so as to separate the organic liquid solute from the fluid drawn across the semi-permeable membrane for recirculation of the organic liquid solute. | 02-07-2013 |
20130340616 | SYSTEM FOR REMOVAL OF ORGANIC CONTAMINANTS FROM BIO-GAS FOR RENEWABLE ENERGY PRODUCTION - A method of reducing siloxane contamination in an effluent gas is described, where one embodiment of the method comprises directing the effluent through a reactor comprising a dialkyl terminated glyme solvent having a molecular size less than about 300 Daltons, the dialkyl terminated glyme serving to physically absorb the siloxanes from the effluent; polymerizing the siloxanes by directing them through a packed bed of acidic resin catalyst media housed within the reactor and immersed within the solvent so as to create polymerized siloxanes having a molecular size greater than about 300 Daltons, that are soluble in the solvent; and separating the polymerized siloxanes from the solvent via nanofiltration; and recycling the solvent into the reactor for further physical absorption of incoming siloxanes. | 12-26-2013 |
20140238870 | Systems and Methods for Regenerating Liquid Solvents Used In the Removal of Organic Contaminants from Gaseous Mixtures - A method is provided of regenerating solvents used to remove gaseous contaminants from gaseous mixtures of various compositions with significantly reduced energy required, where one exemplary method includes directing a solution with the solvents and the preferentially absorbed and/or dissolved gaseous contaminants through a filter comprising a membrane having pre-determined diffusion rates so that a substantial portion of the gaseous contaminants pass through the filter, permitting the passage of the gaseous contaminants through the membrane for further processing, and recirculating the separated solvent so that it may be used again to remove new gaseous contaminants. In some cases, it may be desired to permit some of the solvent to pass through the membrane along with the gaseous contaminant. | 08-28-2014 |
20140363360 | Systems and Methods for Removal of Organic Sulfides and Hydrogen Sulfide Contaminants from Mixed Gas Streams - A method of removing gaseous organic sulfide contaminants from gaseous mixtures is provided where the method includes dissolving the organic sulfide contaminants in a solution of an acid-based catalyst to permit cleavage of the sulfur atom from the organic sulfide molecule, such that the cleaved sulfur atom can be further reduced electrochemically to elemental sulfur or alkali metal polysulfides. | 12-11-2014 |
Patent application number | Description | Published |
20100241784 | System and method for storing data in a virtualized high speed memory system - A system and method for providing high-speed memory operations is disclosed. The technique uses virtualization of memory space to map a virtual address space to a larger physical address space wherein no memory bank conflicts will occur. The larger physical address space is used to prevent memory bank conflicts from occurring by moving the virtualized memory addresses of data being written to memory to a different location in physical memory that will eliminate a memory bank conflict. | 09-23-2010 |
20110022791 | High speed memory systems and methods for designing hierarchical memory systems - A system and method for designing and constructing hierarchical memory systems is disclosed. A plurality of different algorithmic memory blocks are disclosed. Each algorithmic memory block includes a memory controller that implements a specific storage algorithm and a set of lower level memory components. Each of those lower level memory components may be constructed with another algorithmic memory block or with a fundamental memory block. By organizing algorithmic memory blocks in various different hierarchical organizations, may different complex memory systems that provide new features may be created. | 01-27-2011 |
20110145513 | System and method for reduced latency caching - A reduced latency memory system that prevents memory bank conflicts. The reduced latency memory system receives a read request and write request. The read request is then handled by simultaneously fetching data from a main memory and a cache memory. The address of the read request is compared with a cache tag value and if the cache tag value matches the address of the read request, the data from the cache memory is served. The write request is stored and handled in a subsequent memory cycle. | 06-16-2011 |
20110145777 | Intelligent memory system compiler - Designing memory subsystems for integrated circuits can be time-consuming and costly task. To reduce development time and costs, an automated system and method for designing and constructing high-speed memory operations is disclosed. The automated system accepts a set of desired memory characteristics and then methodically selects different potential memory system design types and different implementations of each memory system design type. The potential memory system design types may include traditional memory systems, optimized traditional memory systems, intelligent memory systems, and hierarchical memory systems. A selected set of proposed memory systems that meet the specified set of desired memory characteristics is output to a circuit designer. When a circuit designer selects a proposed memory system, the automated system generates a complete memory system design, a model for the memory system, and a test suite for the memory system. | 06-16-2011 |
20110167192 | System and method for storing data in a virtualized high speed memory system - A system and method for providing high-speed memory operations is disclosed. The technique uses virtualization of memory space to map a virtual address space to a larger physical address space wherein no memory bank conflicts will occur. The larger physical address space is used to prevent memory bank conflicts from occurring by moving the virtualized memory addresses of data being written to memory to a different location in physical memory that will eliminate a memory bank conflict. | 07-07-2011 |
20130046953 | System And Method For Storing Data In A Virtualized High Speed Memory System With An Integrated Memory Mapping Table - A system and method for providing high-speed memory operations is disclosed. The technique uses virtualization of memory space to map a virtual address space to a larger physical address space wherein no memory bank conflicts will occur. The larger physical address space is used to prevent memory bank conflicts from occurring by moving the virtualized memory addresses of data being written to memory to a different location in physical memory that will eliminate a memory bank conflict. A changeable mapping table that maps the virtualized memory addresses to physical memory addresses is stored in the same memory system. | 02-21-2013 |
20130080694 | Methods And Apparatus For Refreshing Digital Memory Circuits - Dynamic memory systems require each memory cell to be continually refreshed. During a memory refresh operation, the refreshed memory cells cannot be accessed by a memory read or write operation. In multi-bank dynamic memory systems, concurrent refresh systems allow memory refresh circuitry to refresh memory banks that are not currently involved in memory access operations. To efficiently refresh memory banks and advanced round robin refresh system refreshes memory banks in a nominal round robin manner but skips memory banks blocked by memory access operations. Skipped memory banks are prioritized and then refreshed when they are no longer blocked. | 03-28-2013 |
20130242677 | Methods and Apparatus for Designing and Constructing Multi-port Memory Circuits with Voltage Assist - To handle multiple concurrent memory requests, a dual-port six transistor (6T) SRAM bit cell is proposed. The dual-port 6T SRAM cell uses independent word lines and bit lines such that the true side and the false side of the bit cell may be accessed independently. Single-ended reads allow the memory system to handle two independent read operations concurrently. Single-ended writes are enabled by adjusting the V | 09-19-2013 |
20130258757 | Methods And Apparatus For Synthesizing Multi-Port Memory Circuits - Multi-port memory circuits are often required within modern digital integrated circuits to store data. Multi-port memory circuits allow multiple memory users to access the same memory cell simultaneously. Multi-port memory circuits are generally custom-designed in order to obtain the best performance or synthesized with logic synthesis tools for quick design. However, these two options for creating multi-port memory give integrated circuit designers a stark choice: invest a large amount of time and money to custom design an efficient multi-port memory system or allow logic synthesis tools to inefficiently create multi-port memory. An intermediate solution is disclosed that allows an efficient multi-port memory array to be created largely using standard circuit cell components and register transfer level hardware design language code. | 10-03-2013 |
20130311748 | System and Method for Storing Data in a Virtualized Memory System With Destructive Reads - A system and method for providing high-speed memory operations is disclosed. The technique uses virtualization of memory space to map a virtual address space to a larger physical address space wherein no memory bank conflicts will occur. The larger physical address space is used to prevent memory bank conflicts from occurring by moving the virtualized memory addresses of data being written to memory to a different location in physical memory that will eliminate a memory bank conflict. To improve memory performance destructive read operations are used when reading data but the data is written back into the physical memory in a later cycle. | 11-21-2013 |
20140104960 | Methods and Apparatus for Designing and Constructing High-Speed Memory Circuits - Static random access memory (SRAM) circuits are used in most digital integrated circuits to store digital data bits. SRAM memory circuits are generally read by decoding an address, reading from an addressed memory cell using a set of bit lines, outputting data from the read memory cell, and precharging the bit lines for a subsequent memory cycle. To handle memory operations faster, a bit line multiplexing system is proposed. Two sets of bit lines are coupled to each memory cell and each set of bit lines are used for memory operations in alternating memory cycles. During a first memory cycle, a first set of bit lines accesses the memory array while precharging a second set of bit lines. Then during a second memory cycle following the first memory cycle, the first set of bit lines are precharged while the second set of bit lines accesses the memory array to read data. | 04-17-2014 |
20140185364 | Methods And Apparatus For Designing And Constructing Multi-Port Memory Circuits - Static random access memory (SRAM) circuits are used in most digital integrated circuits to store data. To handle multiple memory users, an efficient dual port six transistor (6T) SRAM memory cell is proposed. The dual port 6T SRAM cell uses independent word lines and bit lines such that the true side and the false side of the SRAM cell may be accessed independently. Single-ended reads allow the two independent word lines and bit lines to handle two reads in a single cycle using spatial domain multiplexing. Writes can be handled faster that read operations such that two writes can be handled in a single cycle using time division multiplexing. To further improve the operation of the dual port 6T SRAM cell a number of algorithmic techniques are used to improve the operation of the memory system. | 07-03-2014 |
20140310482 | System And Method For Storing Multiple Copies of Data In A High Speed Memory System - A system and method for providing high-speed memory operations is disclosed. The technique uses virtualization of memory space to map a virtual address space to a larger physical address space wherein no memory bank conflicts will occur. The larger physical address space is used to prevent memory bank conflicts from occurring by storing a second encoded copy of data in a multi-port XOR memory bank. | 10-16-2014 |
20140344635 | Methods And Apparatus For Testing And Repairing Digital Memory Circuits - An ActiveTest solution for memory is disclosed which can search for memory errors during the operation of a product containing digital memory. The ActiveTest system tests memory banks that are not being accessed by normal memory users in order to continually test the memory system in the background. When there is a conflict between the ActiveTest system and a memory user, the memory user is generally given priority. | 11-20-2014 |