Jeng, TW
Bing-Huei Jeng, Sinjhuang City TW
Patent application number | Description | Published |
---|---|---|
20090293559 | CAR LOCK - A car lock mainly comprises a steering wheel lock, a stereo lock, a hand brake lock, a first combination connecting mechanism and a second combination connecting mechanism. Whereby, the car lock according to the present invention can effectively prevent a thief from detaching stereo components, operating a steering wheel and hand brake lever to attain to the practical object of effectively preventing a car from being stolen because the whole effective collocation combination may be adopted on every kind of car and provides multiple protections. | 12-03-2009 |
20090293563 | LOCK WITH MULTIPLY CIRCLED CYLINDER - A lock with a multiply circled cylinder mainly comprises a lock body and a key. The lock body includes a multiply circled cylinder and a housing receiving the multiply circled cylinder. The multiply circled cylinder assembly further comprises a front rotating shaft, a front shaft seat, a rear rotating shaft and a rear shaft seat. The housing has an outer sleeve and an inner sleeve. The key comprises a large cylindrical key parts, a small cylindrical key part and a central key pole. The front rotating shaft has a plurality of outer locking pins and the rear rotating shaft has a plurality of inner locking pins. The large cylindrical key part has a plurality of teeth corresponding to the outer and inner pins in the front and rear rotating shafts. Hence, the lock with a multiply circled cylinder assembly is capable of being constructed as either the rotary lock or the push-in lock easily. | 12-03-2009 |
Chang-Yueh Jeng, Taipei Hsien TW
Patent application number | Description | Published |
---|---|---|
20120218164 | Compact Size Antenna Operating in LTE Frequency Bands - A compact size antenna operating in LTE frequency bands includes a radiation element, a ground plane, a connecting piece, and a ground extension element. The radiation element at least includes a first radiation branch extending toward a first direction, wherein a connection end of the radiation element has a signal feeding point. The connecting piece is coupled to the ground plane. The ground extension element includes: a metal arm, coupled to the ground plane through the connecting piece; a first ground branch, coupled to the metal arm, and extending toward the first direction; a second ground branch coupled to the metal arm, and extending toward a second direction opposite to the first direction; and a third ground branch, coupled to the metal arm, coupled to the second ground branch, and extending toward the first direction. | 08-30-2012 |
20130147679 | ANTENNA STRUCTURE OF HANDHELD DEVICE - An antenna structure includes a matching circuit, a flexible printed circuit board, and an external metal element. The matching circuit is configured to provide impedance. The flexible printed circuit board has a variable shape, wherein a metal wire is disposed on the flexible printed circuit board. The external metal element is coupled to a signal source through the metal wire disposed on the flexible printed circuit board and the matching circuit. | 06-13-2013 |
Chien-Chung Jeng, Taichung TW
Patent application number | Description | Published |
---|---|---|
20120026486 | NON-CONTACTING ALIGNING METHOD FOR PLANES IN THREE-DIMENSIONAL ENVIRONMENT - A non-contacting aligning method for planes in a three-dimensional environment is disclosed. The method includes: projecting a light beam in a predetermined incident angle onto a transparent first object and an opaque second object that are facing each other; and calculating a distance between the first and second objects basing on the tangent trigonometric function of the incident angle of the light beam. | 02-02-2012 |
20150044726 | DEVICE FOR CONTROLLING THERMAL CONVECTION VELOCITY OF BIOCHEMICAL REACTION AND METHOD FOR THE SAME - The present disclosure is related to a device for controlling thermal convection velocity of a biochemical reaction. The thermal convection velocity controlling device includes a base body for disposing a tube which is movable, wherein the tube is filled with a buffer of the biochemical reaction; a heating source located at a bottom of the tube or at a side of the tube to heat the buffer; and a flow rate adjusting apparatus for controlling a thermal convection flow direction of the buffer in the tube, whereby the flow rate adjusting apparatus changes a flow velocity and a flow time of the buffer. The present disclosure is also related to a method for controlling thermal convection velocity of a biochemical reaction using the device. | 02-12-2015 |
Chien-Chung Jeng, Pingtung County TW
Patent application number | Description | Published |
---|---|---|
20130071917 | CAPILLARY FOR APPARATUS OF INSULATED ISOTHERMAL POLYMERASE CHAIN REACTION - A capillary for apparatus of insulated isothermal polymerase chain reaction is mounted onto a test tube holder. The capillary includes a tube which has an elongated tubular housing space that is cut to form an axial cross section with a distance between left and right edges greater than the distance between front and rear edges. When reactants are filled in the elongated tubular housing space and heated to generate convection, the left and right edges of the axial cross section form a narrower passage to reduce flowing speed of heat flow in the convection and increase cycling duration of the convection. Hence reaction duration of the reactants is prolonged, and reaction efficiency of the apparatus of insulated isothermal polymerase chain reaction also is increased. | 03-21-2013 |
Chih-Cherng Jeng, Hsin-Chu City TW
Patent application number | Description | Published |
---|---|---|
20090152545 | Feature Dimension Measurement - A method of measuring dimensional characteristics includes providing a substrate and forming a reflective layer over the substrate. A dielectric layer is then formed over the reflective layer. The dielectric layer includes a grating pattern and a resistivity test line inset in a transparent region. Radiation is then directed onto the dielectric layer so that some of the radiation is transmitted through the transparent region to the reflective layer. A radiation pattern is then detected from the radiation reflected and scattered by the metal grating pattern. The radiation pattern is analyzed to determine a first dimensional information. Then the resistance of the resistivity test line is measured, and that resistance is analyzed to determine a second dimensional information. The first and second dimensional informations are then compared. | 06-18-2009 |
Dung-Sheng Jeng, Miaoli TW
Patent application number | Description | Published |
---|---|---|
20090101137 | SUN TRACKING SYSTEM PRESSURE DIFFERENTIAL DRIVING SYSTEM - A first coolant tank is arranged on first side of a solar energy collecting panel, a second coolant tank is arranged on second side of the solar energy collecting panel. A first wall is arranged beside the first coolant tank, a second wall is arranged beside the second coolant tank. When the sun shifts, one of the coolant tanks is covered partially by one of the walls and hence receives less heat than the other. The pressure difference between the two coolant tanks is used to adjust the solar energy collecting panel so that the panel tracks the sun to receive maximum heat. | 04-23-2009 |
20090107486 | SOLAR PANEL WITH A COOLANT VAPOR PRESSURE DRIVING SYSTEM - Coolant vapor pressure is used to generate a push force to adjust the azimuth angle of a solar panel without using a dedicated power supply. | 04-30-2009 |
Dun-Zen Jeng, Taichung City TW
Patent application number | Description | Published |
---|---|---|
20100117462 | Damper Power-Generating System - A damper power-generating system includes a damper, a driving apparatus, and a generator. The driving apparatus simply consumes energy to do work for generation of electric power. That is, the work is done by utilizing the displacement due to the strong up-and-down vibration within a damper, wherein the displacement due to the up-and-down vibration drives a set of gear racks, which, in turns, drives gears having a one-way driving apparatus, so that rotation can be achieved in one direction, and, further, buffering springs and accelerative gears are used, so that speedy and uniform rotation can be achieved. | 05-13-2010 |
Gwo Jong Jeng, Taichung City TW
Patent application number | Description | Published |
---|---|---|
20100294088 | Adjustable spanner - An adjustable spanner includes a head containing a fixed jaw and a movable jaw; a slide is disposed at bottom of the fixed jaw, and an accommodation trough is disposed in the head; the movable jaw contains an insertion edge corresponding to the slide and tapered surface is disposed on bottom of the insertion edge; a locating member disposed in the accommodation trough of the head with an incline disposed on top of the locating member to contact the tapered surface of the insertion edge on the slide; and the movable jaw is secured at any location on the slide of the head by having both of the tapered surface of the movable jaw and the incline of the locating member to hold against each other. | 11-25-2010 |
Jain-Ming Jeng, Hsinchu TW
Patent application number | Description | Published |
---|---|---|
20120180125 | METHOD AND SYSTEM FOR PREVENTING DOMAIN NAME SYSTEM CACHE POISONING ATTACKS - A method for preventing domain name system cache poisoning attacks comprises steps of inputting a domain name by an internet application program of an Internet communication device, determining in which area the Internet communication device is located, randomly selecting at least two domain name system resolvers of the area, retrieving at least one Internet protocol address from the domain name system resolvers and evaluating the Internet protocol addresses to generate at least one security score, selecting a trustworthy Internet protocol address based on the security scores, comparing the security score of the selected Internet protocol address with a predetermined security score threshold, and sending the trustworthy Internet protocol address to the Internet application program of the Internet communication device when the security score is greater than the security score threshold. A system for preventing domain name system cache poisoning attacks comprises an Internet communication device and an optional proxy server. | 07-12-2012 |
Jauder Jeng, Taichung City TW
Patent application number | Description | Published |
---|---|---|
20100280143 | SEALANT COMPOSITION - A sealant composition is provided. The sealant composition includes (a) an oligomer including an unsaturated mono-carboxylic acid modified bisphenol A epoxy resin and an unsaturated mono-carboxylic acid modified bisphenol F epoxy resin, wherein an equivalence ratio of the bisphenol A epoxy resin to the bisphenol F epoxy resin is 0.05:0.95 to 0.3:0.7, the bisphenol A epoxy resin has a melting point higher than 40° C. and the bisphenol F epoxy resin has a melting point lower than 40° C.; (b) an epoxy resin having at least two or more than two epoxy groups; and (c) a photoinitiator. | 11-04-2010 |
20120320314 | LIQUID CRYSTAL DISPLAY - The invention provides a liquid crystal display, which includes an LCD panel and a first polarizing film and a second polarizing film respectively formed on the two sides of the LCD panel, wherein the first polarizing film is directly formed on the LCD panel, and the first polarizing film and a second polarizing film respectively includes a polarizer and a first pressure sensitive adhesive (PSA) and a second PSA formed on the two sides of the polarizer, wherein the first PSA is closer to the LCD panel than the second PSA, and the first PSA of the first polarizing film is directly formed on the LCD panel. | 12-20-2012 |
20130084454 | RESIN COMPOSITION, RESIN AND METHOD FOR MANUFACTURING THE SAME - A resin composition, a resin and a method for manufacturing the same. The resin composition includes a plant oil derivative, and a multifunctional carboxylic acid, anhydride compound or a copolymer containing anhydride. The multifunctional carboxylic acid, the anhydride compound or the copolymer containing anhydride has an amount of 5-60 parts by weight relative to 100 parts by weight of the plant oil derivative. | 04-04-2013 |
20130137042 | PHOTOSENSITIVE COMPOSITION AND PHOTORESIST - A photosensitive composition and a photoresist are provided. The photoresist is formed by compounding a photosensitive composition. The photosensitive composition comprises a binder agent, a photomonomer and a photoinitiator. The binder agent has a chemical structure comprising following repeating unit: | 05-30-2013 |
20150056556 | PHOTOSENSITIVE COMPOSITION AND PHOTORESIST - A photosensitive composition and a photoresist are provided. The photoresist is formed by compounding a photosensitive composition. The photosensitive composition includes a binder agent, a first photomonomer, and a photo initiator. The first photomonomer has at least a lactic oligomer and at least two unsaturated acrylic functional groups, wherein the first photomonomer has an amount of about 25-95 parts by weight relative to 100 parts by weight of a solid content of the binder agent. The photoinitiator has an amount of about 0.5-15 parts by weight relative to 100 parts by weight of the solid content of the binder agent. | 02-26-2015 |
Jau-Der Jeng, Taichung City TW
Patent application number | Description | Published |
---|---|---|
20120141937 | Photosensitive Composition and Photoresist - A photosensitive composition and a photoresist are provided. The photoresist is formed by compounding a photosensitive composition. The photosensitive composition comprises a binder agent, a photomonomer and a photoinitiator. The binder agent is formed by polymerizing a binder composition. The binder composition comprises a lactic oligomer. The photomonomer has an amount of about 25-95 parts by weight relative to 100 parts by weight of a solid content of the binder agent. The photo initiator has an amount of about 0.5-15 parts by weight relative to 100 parts by weight of the solid content of the binder agent. | 06-07-2012 |
Jenher Jeng, Linnei Township TW
Patent application number | Description | Published |
---|---|---|
20100070433 | INVESTMENT ALLOCATION SYSTEM FOR MANAGING INVESTMENT RETURN AND RISK AND METHOD THEREOF - The present invention discloses an investment allocation system for managing investment return and risk and method thereof. The investment allocation system comprises a storage unit, a MDD computation unit, an operation unit, and an allocation process unit. The storage unit stores a threshold, first data sets comprising values of potential investments, and second data sets comprising values of benchmark assets. The MDD computation unit transforms the data sets into MDD sequences. The threshold is assigned to the first MDD sequence in order to obtain a corresponding kth-quantile thereof. Further, an operation unit inserts an object according to the kth-quantile into the second MDD sequence. The operation unit further divides the number of the second list of objects having smaller values than the object by the number of the whole second list of objects to obtain a consistency index. Also, an allocation process unit allocates assets by processing the consistency indexes. | 03-18-2010 |
20100082502 | INVESTMENT ALLOCATION SYSTEM FOR MANAGING INVESTMENT RETURN AND RISK AND METHOD THEREOF - The present invention discloses an investment allocation system for managing investment return and risk and method thereof. The investment allocation system comprises a storage unit, a return rate computation unit, a standard deviation computation unit, an operation unit, and an allocation process unit. The storage unit stores a threshold, first data sets, and second data sets. The return rate computation unit transforms the data sets into return rate sequences. The standard deviation computation unit transforms the return rate sequences into standard deviation sequences. The threshold is assigned to the first standard deviation sequence in order to obtain a corresponding kth-quantile thereof. Further, an operation unit locates an object according in the kth-quantile into the second standard deviation sequence. The operation unit further processes the second standard deviation sequence to obtain a robustness index. Also, an allocation process unit allocates assets by processing the robustness indexes. | 04-01-2010 |
Jen-Her Jeng, Linnei Township TW
Patent application number | Description | Published |
---|---|---|
20080226095 | INTERNET RADIO SYSTEM - An internet radio system which includes a network connecting unit for coupling to the internet. A storage unit stores a number of addresses of servers in the internet. A graphical user interface can select one of the servers with the network connecting unit links receiving multimedia data from a selected server. A display unit displays the graphical user interface and a processing unit renders the graphical user interface and processes the multimedia data where the processing unit controls the volume of the multimedia data during selection of the servers. | 09-18-2008 |
Jen-Her Jeng, Yunlin County TW
Patent application number | Description | Published |
---|---|---|
20090287611 | Investment portfolio analysis system, dynamic link index computing module of financial asset, and method thereof - The present invention discloses an investment portfolio analysis system, a dynamic link index computing module of a financial asset and a method thereof. The system is characterized by calculating the dynamic link index with an investment portfolio associating at least two benchmark assets, so that users can evaluate that the investment portfolio's profitability, price drop resistance and linkage of different types of benchmark assets under different economic conditions. The investment portfolio preferably comprises a fund, a stock, a commodity, a foreign exchange, a bond, an option or a shareshares warrant. The benchmark asset preferably comprises a global stock market index, a global bond index, a global commodity index, a global real estate index and a weighted average index of any combination of global currencies. | 11-19-2009 |
Jhy-Long Jeng, Junghe City TW
Patent application number | Description | Published |
---|---|---|
20100167205 | THERMALLY CURABLE SOLDER RESIST COMPOSITION - A thermally curable solder resist composition for a flexible printed circuit board is provided. The solder resist composition includes (a) 50-100 parts by weight of an epoxy resin, wherein the epoxy resin includes at least an aliphatic polyester modified epoxy resin having formula (I) or (II), in which, each of R | 07-01-2010 |
20110306703 | THERMALLY CURABLE SOLDER RESIST COMPOSITION - A thermally curable solder resist composition for a flexible printed circuit board is provided. The solder resist composition includes (a) 50-100 parts by weight of an epoxy resin, wherein the epoxy resin includes at least an aliphatic polyester modified epoxy resin having formula (I) or (II), in which, each of R | 12-15-2011 |
20130029049 | POLYIMIDE COPOLYMERS AND METHOD FOR FABRICATING PATTERNED METAL OXIDE LAYERS - A polyimide copolymer represented by formula (I) or formula (II) is provided. | 01-31-2013 |
Jian-Dih Jeng, Linkou Township TW
Patent application number | Description | Published |
---|---|---|
20080205804 | Simplified Fluid Dynamic Bearing Design - The invention is related to a fluid dynamic bearing design, wherein inner wall of bearing or surface of shaft is made with inner grooves having self-lubricating functions to effect radial pressure waves according to stable bearing operating requirements as well as working and assembly methods. Embodiments of said inner grooves include seal, open and re-circulation types, wherein seal type inner grooves reduces overflow of lubricants, while open type rifling grooves and re-circulation type grooves allows for recirculation of lubricating fluid to promote rotational stability of the shaft. | 08-28-2008 |
Jian-Min Jeng, New Taipei City TW
Patent application number | Description | Published |
---|---|---|
20120298508 | METHOD AND APPARATUS OF ELECTROPHORETIC DEPOSITION - A method of electrophoretic deposition includes: providing an electrophoresis tank, an anode substrate, and a cathode substrate; disposing the anode substrate and the cathode substrate oppositely in the electrophoresis tank; adjusting relative positions of the cathode substrate and the anode substrate for varying each of the distances between corresponding regions on the cathode substrate and the anode substrate; and inputting cathode voltage and anode voltage respectively to a cathode electrode of the cathode substrate and a anode electrode of the anode substrate for performing the electrophoretic deposition. | 11-29-2012 |
Jing-Lyang Jeng, Hsinchu County TW
Patent application number | Description | Published |
---|---|---|
20080206545 | Porous material and method for preparing the same - The present invention is related to a porous structure material, which is synthesized by mixing an alkyl siloxane compound or a silicate compound with an organic solvent through a sol-gel process, and modified by modification agents. The present invention is also related to a method for manufacturing porous structure material, which comprises reacting an alkyl siloxane compound or a silicate compound with an organic solvent through sol-gel process. The present invention utilizes modification agents to modify hydrophilic groups into hydrophobic groups on the surface of the porous structure material, thereby to lower the surface tension and maintain the porous structure. The porous structure material of the present invention has properties of low conductive coefficient, high porosity, high hydrophobicity and self-cleaning. | 08-28-2008 |
20110012355 | Fluid Whirl Lighting Apparatus - This disclosure provides a fluid whirl lighting apparatus, which comprises: a housing; a coil module, disposed on an inner wall of the housing; a rotor, disposed in the housing, provided with a plurality of blades on an inner wall thereof and a magnetic module on an outer wall corresponding to the coil module; a lighting module, disposed on the outer wall of the housing while electrically coupled to the coil module; and an alarm element, electrically connected to the coil module for issuing an alarm; wherein the outer wall of the rotor and the inner wall of the housing define an air-tight space for accommodating a liquid, and the rotor is rotated by a flowing fluid propelling the plural blades for enabling a relative movement between the coil module and the magnetic module for generating electricity; and the lighting module is used for providing illumination in response to the electricity. | 01-20-2011 |
Jing-Lyang Jeng, Zhubei City TW
Patent application number | Description | Published |
---|---|---|
20110012359 | SWIRLY FLUID SPRINKLER - The present invention provides a swirly fluid sprinkler comprising a sprinkling body, a fluid guide, and an electrical generator. The fluid guide disposed inside the fluid inlet of the sprinkling body includes a plurality of swirl channels for dividing a fluid flow into a plurality of swirly fluid flows. The electrical generator disposed inside the sprinkling body and opposite to the fluid guide has a plurality of blades disposed on the top thereof. Each of the blades has an inclining angle wherein the blade surface opposite to the fluid guide has an angle in relation to the corresponding swirly fluid for receiving the propelling force from the swirly fluid so as to rotate the electrical generator and thereby generate electrical power. In another embodiment, the swirly fluid sprinkler further has a lighting module for providing illumination while the water spray is discharged. | 01-20-2011 |
20110234418 | ABNORMAL DISCHARGE ALARM DEVICE FOR STEAM TRAP - An abnormal discharge alarm device is applied in a steam trap. The steam trap is installed in a pipeline of a steam system. The abnormal discharge alarm device includes a power generation component and a control circuit. The power generation component receives a fluid discharged from the steam trap and generates a self-generated electric power. The control circuit receives and detects the self-generated electric power to obtain a detection result. The control circuit sends an alarm signal when the detection result is greater than a predetermined threshold value. In addition, the abnormal discharge alarm device may further include a monitoring unit. The control circuit transmits the detection result to the monitoring unit. The monitoring unit obtains an updated threshold value according to accumulated detection results, and determines whether to send an alarm after comparing the updated threshold value with a real-time detection result. | 09-29-2011 |
Jiunn-Ming Jeng, Taipei County TW
Patent application number | Description | Published |
---|---|---|
20110076757 | AUTOMATED ALGAE CULTURE APPARATUS - An automated algae culture apparatus includes at least one photosynthetic reactor module and an auxiliary equipment module. The photosynthetic reactor module includes a transparent container used to contain an algae solution which includes algae. The auxiliary equipment module includes a hydraulic filter, a buffer tank and an aerator. The hydraulic filter is used to filter the algae in the algae solution, and is communicated with a water outlet of the transparent container to filter the algae by gravity force. The buffer tank is communicated with a water inlet of the transparent container. The aerator is communicated with an air inlet of the transparent container. | 03-31-2011 |
Jr-Yuan Jeng, Taipei County TW
Patent application number | Description | Published |
---|---|---|
20080264899 | INTERCONNECT STRUCTURE WITH STRESS BUFFERING ABILITY AND THE MANUFACTURING METHOD THEREOF - An interconnect structure with stress buffering ability is disclosed, which comprises: a first surface, connected to a device selected form the group consisting of a substrate and an electronic device; a second surface, connected to a device selected form the group consisting of the substrate and the electronic device; a supporting part, sandwiched between and interconnecting the first and the second surfaces while enabling the areas of the two ends of the supporting part to be small than those of the first and the second surfaces in respective; and a buffer, arranged surrounding the supporting part for absorbing and buffering stresses. | 10-30-2008 |
Jyh Long Jeng, Taipei County TW
Patent application number | Description | Published |
---|---|---|
20110033074 | TRANSPARENT SPEAKER AND DISPLAY MODULE INTEGRATING THE SAME - A transparent speaker is suitable for being disposed on a display panel. The transparent speaker includes a transparent membrane, a transparent electrode plate, and spacers. Each transparent electrode plate has a plurality of openings. The display panel includes a plurality of pixels. The pixels emit optical signals. A Moire spatial period of the optical signals is less than 600 μm after the optical signals pass through the transparent speaker. When the transparent speaker is disposed on the display panel, a user is able to watch an image on the display panel through the transparent speaker without being interfered by a Moire. | 02-10-2011 |
King Tsai Jeng, Taoyuan TW
Patent application number | Description | Published |
---|---|---|
20090312180 | METHODS OF MAKING PLATINUM AND PLATINUM ALLOY CATALYSTS WITH NANONETWORK STRUCTURES - This invention relates to the preparations of noble metal catalysts, i.e., platinum and platinum alloys, on suitable supports with nanonetwork structures and high catalytic efficiencies. A compact structure of a monolayer or a few layers is formed by self-assembly of organic polymer, e.g., polystyrene (PS), nanospheres or inorganic, i.e., silicon dioxide (SiO | 12-17-2009 |
King-Tsai Jeng, Longtan Township TW
Patent application number | Description | Published |
---|---|---|
20100227254 | Decal method for transferring platinum-and platinum alloy-based catalysts with nanonetwork structures - Platinum- and platinum alloy-based catalysts with nanonetwork structures are formed on a substrate at first. Then, a support of a proton exchange membrane is taken. In the end, the catalysts are transferred to the support. | 09-09-2010 |
King-Tsai Jeng, Longtan Shiang TW
Patent application number | Description | Published |
---|---|---|
20110024294 | Method for making membrane fuel cell electrodes by low-voltage electrophoretic deposition of carbon nanomaterial-supported catalysts - A cathode electrophoretic deposition (EPD) suspension is provided by mixing an ionomer solution with an electrolyte. An anode EPD suspension is provided via mixing carbon nanomaterial (CNM)-supported catalyst with a solution of the same composition as that of the cathode EPD suspension. Ultrasonication and high-speed stirring are executed on the cathode and anode EPD suspensions, thus turning them into homogenous suspensions. There is provided a low-voltage EPD apparatus incorporated with a porous material to separate it into anode and cathode compartments. The anode and cathode EPD suspensions are filled in the anode and cathode compartments, respectively. An inert gas is introduced into the anode compartment for stirring the anode EPD suspension. An electrode base substrate is used as the anode of the EPD apparatus. A low-voltage direct current (DC) power supply is used to supply DC low voltage to the EPD apparatus, thus evenly coating a catalyst layer on the substrate. The catalyst layer coated on the substrate is washed with de-ionized water and dried, thus forming a membrane fuel cell (MFC) electrode. | 02-03-2011 |
Li-Shian Jeng, Taitung City TW
Patent application number | Description | Published |
---|---|---|
20080220574 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE - A method of fabricating a complementary metal oxide semiconductor (CMOS) device is provided. A first conductive type MOS transistor including a source/drain region using a semiconductor compound as major material is formed in a first region of a substrate. A second conductive type MOS transistor is formed in a second region of the substrate. Next, a pre-amorphous implantation (PAI) process is performed to amorphize a gate conductive layer of the second conductive type MOS transistor. Thereafter, a stress-transfer-scheme (STS) is formed on the substrate in the second region to generate a stress in the gate conductive layer. Afterwards, a rapid thermal annealing (RTA) process is performed to activate the dopants in the source/drain region. Then, the STS is removed. | 09-11-2008 |
20080237734 | COMPLEMENTARY METAL-OXIDE-SEMICONDUCTOR TRANSISTOR AND METHOD OF FABRICATING THE SAME - A complementary metal-oxide-semiconductor (CMOS) transistor comprising a substrate, a first conductive type MOS transistor, a second conductive type MOS transistor, a buffer layer, a first stress layer and a second stress layer is provided. The substrate has a device isolation structure therein that defines a first active area and a second active area. The first conductive type MOS transistor and the second conductive type MOS transistor are respectively disposed in the first active area and the second active area of the substrate. A first nitride spacer of the first conductive type MOS transistor has a thickness greater than that of a second nitride spacer of the second conductive type MOS transistor. The buffer layer is disposed on the first conductive type MOS transistor. The first stress layer is disposed on the buffer layer. The second stress layer is disposed on the second conductive type MOS transistor. | 10-02-2008 |
20080242031 | METHOD FOR FABRICATING P-CHANNEL FIELD-EFFECT TRANSISTOR (FET) - A method for fabrication a p-type channel FET includes forming a gate on a substrate. Then, a PAI ion implantation process is performed. Further, a pocket implantation process is conducted to form a pocket region. Thereafter, a first co-implantation process is performed to define a source/drain extension region depth profile. Then, a p-type source/drain extension region is formed. Afterwards, a second co-implantation process is performed to define a source/drain region depth profile. Thereafter, an in-situ doped epitaxy growth process is performed to form a doped semiconductor compound for serving as a p-type source/drain region. | 10-02-2008 |
20090166625 | MOS DEVICE STRUCTURE - The present invention provides a method for forming a metal-oxide-semiconductor (MOS) device and the structure thereof. The method includes at least the steps of forming a silicon germanium layer by the first selective epitaxy growth process and forming a cap layer on the silicon germanium layer by the second selective epitaxy growth process. Hence, the undesirable effects caused by ion implantation can be mitigated. | 07-02-2009 |
20090239347 | METHOD OF FORMING MOS DEVICE - The present invention provides a method for forming a metal-oxide-semiconductor (MOS) device. The method includes at least the steps of forming a silicon germanium layer by the selective epitaxy growth process and forming a cap layer on the silicon germanium layer by the selective growth process. Hence, the undesirable effects caused by ion implantation can be mitigated. | 09-24-2009 |
20110097868 | METHOD FOR FABRICATING P-CHANNEL FIELD-EFFECT TRANSISTOR (FET) - A method for fabrication a p-type channel FET includes forming a gate on a substrate. Then, a PAI ion implantation process is performed. Further, a pocket implantation process is conducted to form a pocket region. Thereafter, a first co-implantation process is performed to define a source/drain extension region depth profile. Then, a p-type source/drain extension region is formed. Afterwards, a second co-implantation process is performed to define a source/drain region depth profile. Thereafter, an in-situ doped epitaxy growth process is performed to form a doped semiconductor compound for serving as a p-type source/drain region. | 04-28-2011 |
20110104864 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE - A method of fabricating a complementary metal oxide semiconductor (CMOS) device is provided. A first conductive type MOS transistor including a source/drain region using a semiconductor compound as major material is formed in a first region of a substrate. A second conductive type MOS transistor is formed in a second region of the substrate. Next, a pre-amorphous implantation (PAI) process is performed to amorphize a gate conductive layer of the second conductive type MOS transistor. Thereafter, a stress-transfer-scheme (STS) is formed on the substrate in the second region to generate a stress in the gate conductive layer. Afterwards, a rapid thermal annealing (RTA) process is performed to activate the dopants in the source/drain region. Then, the STS is removed. | 05-05-2011 |
20110156156 | SEMICONDUCTOR DEVICE - A semiconductor device comprises a substrate, a first stress, and a second stress. The substrate has a first-type MOS transistor, an input/output (I/O) second-type MOS transistor, and a core second-type MOS transistor formed thereon. The first-type and the second-type are opposite conductivity types with respect to each other. The first stress layer is only disposed on the first-type MOS transistor, and the second stress layer is different from the first stress, and is only disposed on the core second-type MOS transistor. The I/O second-type MOS transistor is a type of I/O MOS transistor and without not noly the first stress layer but also the second stress layer disposed thereon, the core second-type MOS transistor is a type of core MOS transistor. | 06-30-2011 |
20110254064 | SEMICONDUCTOR DEVICE WITH CARBON ATOMS IMPLANTED UNDER GATE STRUCTURE - An exemplary semiconductor device includes a substrate, a spacer, a metal silicide layer and carbon atoms. The substrate has a gate structure formed thereon. The spacer is formed on the sidewall of the gate structure. The spacer has a first side adjacent to the gate structure and a second side away from the gate structure. The metal silicide layer is formed on the substrate and adjacent to the second side of the spacer but away from the first side of the spacer. The carbon atoms are formed into the substrate and adjacent to the first side of the spacer but away from the second side of the spacer. | 10-20-2011 |
20120009745 | METHOD FOR FABRICATING FIELD-EFFECT TRANSISTOR - A method for fabricating complimentary metal-oxide-semiconductor field-effect transistor is disclosed. The method includes the steps of: (A) forming a first gate structure and a second gate structure on a substrate; (B) performing a first co-implantation process to define a first type source/drain extension region depth profile in the substrate adjacent to two sides of the first gate structure; (C) forming a first source/drain extension region in the substrate adjacent to the first gate structure; (D) performing a second co-implantation process to define a first pocket region depth profile in the substrate adjacent to two sides of the second gate structure; (E) performing a first pocket implantation process to form a first pocket region adjacent to two sides of the second gate structure. | 01-12-2012 |
Min-Chie Jeng, Keelung TW
Patent application number | Description | Published |
---|---|---|
20110313735 | CIRCUIT DEVICE RELIABILITY SIMULATION SYSTEM - The present disclosure provides systems for predicting semiconductor reliability. In an embodiment a method for predicting the semiconductor reliability includes receiving a degradation parameter input of a semiconductor device and using a degradation equation to determine a plurality of bias dependent slope values for degradation over a short time period according to the degradation parameter input. The plurality of slope values include at least two different slope values for degradation over time. The system accumulates the plurality of slope values and projects the accumulated slope values over a long time period to determine a stress effect for the semiconductor device. | 12-22-2011 |
20120001261 | SYSTEM AND METHOD FOR INPUT PIN ESD PROTECTION WITH FLOATING AND/OR BIASED POLYSILICON REGIONS - A system and method for electrostatic discharge protection. The system includes a first transistor including a first drain, a second transistor including a second drain, and a resistor including a first terminal and a second terminal. The first terminal is coupled to the first drain and the second drain. Additionally, the system includes a third transistor coupled to the second terminal and a protected system. The third transistor includes a first gate, a first dielectric layer located between the first gate and a first substrate, a first source, and a third drain. The protected system includes a fourth transistor, and the fourth transistor includes a second gate, a second dielectric layer located between the second gate and a second substrate, a second source, and a fourth drain. | 01-05-2012 |
Ming-Fure Jeng, Yingge Township TW
Patent application number | Description | Published |
---|---|---|
20090213902 | AUTOMATIC FREQUENCY HOPPING AND CHANNEL TRACKING SYSTEM FOR AUTO-FREQUENCY-HOPPING DIGITAL WIRELESS MICROPHONE - An automatic frequency hopping and channel tracking system for an auto-frequency-hopping digital wireless microphone includes a digital wireless microphone and a digital wireless receiving device. In the event of receiving from the digital wireless microphone a signal having an abnormal quality, the digital wireless receiving device not only automatically switches to another signal receiving channel but also intermittently or periodically generates and transmits an RF signal to the digital wireless microphone, so that the latter automatically switches its signal channel to another signal channel according to the RF channel information. In this manner, the digital wireless microphone and receiving device are able to synchronously and quickly switch to another signal channel to avoid the abnormal signal channel and thereby keep good signal transmission therebetween. | 08-27-2009 |
Ming-Jer Jeng, New Taipei City TW
Patent application number | Description | Published |
---|---|---|
20130137894 | CHEMICALLY-MODIFIED GRAPHENE AND METHOD FOR PRODUCING THE SAME - A chemically-modified graphene includes a graphene layer and a plurality of functional groups that are grafted to the graphene layer and each of which is represented by —CO—R—COOH, wherein R is an optionally substituted C | 05-30-2013 |
Ming-Jer Jeng, Kwei-Shan TW
Patent application number | Description | Published |
---|---|---|
20090237848 | ELECTRO-MAGNETIC PULSE PROTECTION CIRCUIT WITH A COUNTER - An EMP protection circuit with a counter has a surge protection circuit capable of suppressing EMP, and also use an extra counting circuit for sensing light emission or variation of magnetic force of the surge protection circuit to count the action times of the surge protection circuit, thereby warning that the surge protection circuit has reached its time-limit of use and has to be replaced. In this way, various kinds of electronic products can be more perfectly protected to avoid higher loss. | 09-24-2009 |
Pei-Ren Jeng, Chu-Bei City TW
Patent application number | Description | Published |
---|---|---|
20100291751 | METHOD FOR FABRICATING AN ISOLATION STRUCTURE - The invention relates to integrated circuit fabrication, and more particularly to an electronic device with an isolation structure made having almost no void. An exemplary method for fabricating an isolation structure, comprising: providing a substrate; forming a trench in the substrate; partially filling the trench with a first silicon oxide; exposing a surface of the first silicon oxide to a vapor mixture comprising NH3 and a fluorine-containing compound; heating the substrate to a temperature between 100° C. to 200° C.; and filling the trench with a second silicon oxide, whereby the isolation structure made has almost no void. | 11-18-2010 |
20130052837 | Apparatus and Methods for Annealing Wafers - A method includes performing an anneal on a wafer. The wafer includes a wafer-edge region, and an inner region encircled by the wafer-edge region. During the anneal, a first power applied on a portion of the wafer-edge region is at least lower than a second power for annealing the inner region. | 02-28-2013 |
20130056837 | SELF-ALIGNED INSULATED FILM FOR HIGH-K METAL GATE DEVICE - A method of making an integrated circuit includes providing a semiconductor substrate and forming a gate dielectric over the substrate, such as a high-k dielectric. A metal gate structure is formed over the semiconductor substrate and the gate dielectric and a thin dielectric film is formed over that. The thin dielectric film includes oxynitride combined with metal from the metal gate. The method further includes providing an interlayer dielectric (ILD) on either side of the metal gate structure. | 03-07-2013 |
20130102137 | DOPING METHOD IN 3D SEMICONDUCTOR DEVICE - The present disclosure provides a method to dope fins of a semiconductor device. The method includes forming a first doping film on a first fin and forming a second doping film on the second fin. The first and second doping films include a different dopant type (e.g., n-type and p-type). An anneal process is performed which drives a first dopant from the first doping film into the first fin and drives a second dopant from the second doping film into the second fin. In an embodiment, the first and second dopants are driven into the sidewall of the respective fin. | 04-25-2013 |
20130122676 | SOURCE/DRAIN DOPING METHOD IN 3D DEVICES - The present disclosure provides methods of semiconductor device fabrication for 3D devices. One method includes provide a substrate having a recess and forming a doping layer on the substrate and in the recess. The substrate is then annealed. The annealing drives dopants of a first type from the doping layer into the substrate. This can form a doped region that may be the source/drain extension of the 3D device. An epitaxial region is then grown in the recess. The epitaxial region can form the source/drain region of the 3D device. | 05-16-2013 |
20130137251 | Uniform Shallow Trench Isolation Regions and the Method of Forming the Same - A method includes performing a plasma treatment on a first surface of a first material and a second surface of a second material simultaneously, wherein the first material is different from the second material. A third material is formed on treated first surface of the first material and on treated second surface of the second material. The first, the second, and the third materials may include a hard mask, a semiconductor material, and an oxide, respectively. | 05-30-2013 |
20130171803 | METHOD FOR FABRICATING AN ISOLATION STRUCTURE - A method of fabricating an isolation structure including forming a trench in a top surface of a substrate and partially filling the trench with a first oxide, wherein the first oxide is a pure oxide. Partially filling the trench includes forming a liner layer in the trench and forming the first oxide over the liner layer using silane and oxygen precursors at a pressure less than 10 milliTorr (mTorr) and a temperature ranging from about 500° C. to about 1000° C. The method further includes producing a solid reaction product in a top portion of the first oxide. The method further includes sublimating the solid reaction product by heating the substrate in a chamber at a temperature from 100° C. to 200° C. and removing the sublimated solid reaction product by flowing a carrier gas over the substrate. The method further includes filling the trench with a second oxide. | 07-04-2013 |
20130277760 | Dummy FinFET Structure and Method of Making Same - A FinFET device may include a dummy FinFET structure laterally adjacent an active FinFET structure to reduce stress imbalance and the effects of stress imbalance on the active FinFET structure. The FinFET device comprises an active FinFET comprising a plurality of semiconductor fins, and a dummy FinFET comprising a plurality of semiconductor fins. The active FinFET and the dummy FinFET are laterally spaced from each other by a spacing that is related to the fin pitch of the active FinFET. | 10-24-2013 |
20140252489 | FinFET with Rounded Source/Drain Profile - A method of forming a FinFET with a rounded source/drain profile comprises forming a fin in a substrate, etching a source/drain recess in the fin, forming a plurality of source/drain layers in the source/drain recess; and etching at least one of the plurality of source/drain layers. The source/drain layers may be a silicon germanium compound. Etching at the source/drain layers may comprises partially etching each of the plurality of source/drain layers prior to forming subsequent layers of the plurality of source/drain layers. The source/drain layers may be formed with a thickness at a top corner of about 15 nm, and the source/drain layers may each be etched back by about 3 nm prior to forming subsequent layers of the plurality of source/drain layers. Forming the plurality of source/drain layers optionally comprises forming at least five source/drain layers. | 09-11-2014 |
20140264590 | FinFET with Bottom SiGe Layer in Source/Drain - A FinFET includes a substrate, a fin structure on the substrate, a source in the fin structure, a drain in the fin structure, a channel in the fin structure between the source and the drain, a gate dielectric layer over the channel, and a gate over the gate dielectric layer. At least one of the source and the drain includes a bottom SiGe layer. | 09-18-2014 |
20140367802 | SELF-ALIGNED INSULATED FILM FOR HIGH-K METAL GATE DEVICE - An integrated circuit includes a semiconductor substrate, a gate dielectric over the substrate, a metal gate structure over the semiconductor substrate and the gate dielectric, a dielectric film on the metal gate structure, the dielectric film comprising oxynitride combined with metal from the metal gate, and an interlayer dielectric (ILD) on either side of the metal gate structure. | 12-18-2014 |
Pei-Ren Jeng, Hsinchu Hsien TW
Patent application number | Description | Published |
---|---|---|
20080217683 | SELF-ALIGNED DOUBLE LAYERED SILICON-METAL NANOCRYSTAL MEMORY ELEMENT, METHOD FOR FABRICATING THE SAME, AND MEMORY HAVING THE MEMORY ELEMENT - A nanocrystal memory element and a method for fabricating the same are proposed. The fabricating method involves selectively oxidizing polysilicon not disposed beneath and not covered with a plurality of metal nanocrystals, and leaving intact the polysilicon disposed beneath and thereby covered with the plurality of metal nanocrystals, with a view to forming double layered silicon-metal nanocrystals by self-alignment. | 09-11-2008 |
Po-Wen Jeng, Tai-Chung City TW
Patent application number | Description | Published |
---|---|---|
20090052170 | DIMMABLE LAMP SET WITH REMOTELY GROUP SETTING FUNCTION - A lamp set with remotely group setting function comprising a plurality of lamp assemblies and a remote controller; each lamp assembly including a casing, a signal receiver; a lamp body, a base, a circuit assembly, a power device and a group switch set; the casing for receiving element of the lamp assembly; the function group switches having a plurality of buttons for selecting the package signals sent from the remote controller; the circuit assembly of the lamp assembly being electrically connected to the lamp body, the power device, the receiver, and the group switch set. The circuit assembly serving to read package signals received from the receiver; the group switch set determining whether it is necessary to process the received package signals; if necessary, the circuit assembly will send driving signals to the lamp body to has to present different light effects according to the signals. | 02-26-2009 |
Po-Wen Jeng, Taichung City TW
Patent application number | Description | Published |
---|---|---|
20080218398 | Remote control integration device for controlling electronic devices - A remote control integration device for controlling electronic devices, comprises a remote controller having a memory unit for storing an instruction set having a plurality of instructions; a key set having a plurality of keys; a first transceiver and a first processor for generating instruction signals according to operation of keys; for storing the instruction set to the memory unit and for emitting the generating instruction signals and the instruction set in the memory unit through the first transceiver; and a remote controller having a second transceiver; and a second processor receiving the instructions or instruction set from the remote controller; and decoding the receiving signals into control signals; and a switch receiving electric power and outputting the electric power according to the decoding signals from the second processor. | 09-11-2008 |
20090322539 | MONITORING APPARATUS WITH A SENSOR UNIT - A monitoring apparatus includes a process unit, a sensor unit, a transmission unit and an illuminator unit. The sensor unit for detecting moving approaching objects is electrically connected to the process unit to send signals to the process unit. The transmission unit is electrically connected to the process unit for receiving signals from the process unit and adapted to be connected to a backend central control system for transmitting signals from the process unit to the backend central control system. The backend central control system sends a mode signal to the process unit via the transmission unit. The illuminator unit is electrically connected to the process unit and is controlled by orders from the process unit when the process unit determines status based on signals from the sensor unit and the mode signal. | 12-31-2009 |
Ren Yih Jeng, Jhongli City TW
Patent application number | Description | Published |
---|---|---|
20090252931 | REINFORCED ASSEMBLY CARRIER AND METHOD FOR MANUFACTURING THE SAME AS WELL AS METHOD FOR MANUFACTURING SEMICONDUCTOR PACKAGES - A reinforced assembly carrier is provided. A supporting frame made of molding compound is formed on the edge area of the upper surface and/or on the edge area of the lower surface of the assembly carrier thereby enhancing the mechanical strength of the assembly carrier. | 10-08-2009 |
Rong-Ruey Jeng, Longtan Township TW
Patent application number | Description | Published |
---|---|---|
20090100968 | Method and apparatus for manufacturing high-purity hydrogen storage alloy Mg2Ni - The present invention provides a method and apparatus for manufacturing high-purity hydrogen storage alloy Mg | 04-23-2009 |
20100064849 | Method and Apparatus for Manufacturing High-Purity Alloy - The present invention provides a method and apparatus of the present invention disclose the electromagnetic induction heat device to heat a first metal mineral stone and a second mineral stone to form a melting mixture liquid without stirring. Keep a temperature of the melting mixture liquid between solidus and liquidus of binary alloy phase diagram of the first and second metal mineral stone, then an alloy with solid state precipitates from said melting mixture liquid. | 03-18-2010 |
Rong-Son Jeng, Hsin-Chu City TW
Patent application number | Description | Published |
---|---|---|
20080304387 | METHOD FOR PREVENTING OPTICAL DISK FROM BEING SCRAPED - The method prevents an optical disk from being scraped by an optical apparatus of a pickup head of an optical disc drive is disclosed, it mainly includes: moving the optical apparatus of the pickup head to a detection position in which the laser-light emitted from the pickup head focuses on the optical disk; detecting the first-detection position and setting a movement boundary according to the first-detection position, wherein the movement boundary has a upper boundary and a bottom boundary; preventing the optical apparatus from moving out of the movement boundary. The present method can be implemented without installing any additional apparatus in the original video disc player, and can prevent the optical disk and the inner apparatus of the optical disc drive from being scraped or damaged by the pickup head during a read/write process to increase the service life of the optical disk and the optical disc drive. | 12-11-2008 |
Ru-Jong Jeng, Taichung TW
Patent application number | Description | Published |
---|---|---|
20090048418 | Organic polyurethane shape memory material and a preparation method thereof - An organic polyurethane shape memory material and a preparation method thereof are disclosed. The organic polyurethane shape memory material includes a C10 chain or C18 chain and it consists of hard segment and soft segment. The preparation method thereof includes the steps of: | 02-19-2009 |
20120130022 | Method for Providing a Side-Chain Dendrimer Vesicle - A method for making a side-chain dendrimer vesicle includes the following steps. At first, there is provided a random copolymer with a narrow distribution of molecular weights by active polymerization and chemical modification. Then, chemical modification is executed to graft various generations of dendrimers to the random copolymer to provide a side-chain dendritic random copolymer with various generations. Two steps of emulsification are taken to induce macromolecular self-assembling of the side-chain dendritic random copolymer solution to form the macromolecular vesicle. The side-chain dendrimer includes C | 05-24-2012 |
20140135451 | Method for Providing a Side-Chain Dendrimer Vesicle - A method disclosed for making a side-chain dendrimer vesicle. The method includes the steps of: At first, there is provided a random copolymer with a narrow distribution of molecular weights by active polymerization and chemical modification. Then, chemical modification is executed to graft various generations of dendrimers to the random copolymer to provide a side-chain dendritic random copolymer with various generations. Two steps of emulsification are taken to induce macromolecular self-assembling of the side-chain dendritic random copolymer solution to form the macromolecular vesicle. The side-chain dendrimer includes C | 05-15-2014 |
Ru-Jong Jeng, Taoyuan County TW
Patent application number | Description | Published |
---|---|---|
20130131301 | Method for Making Waterborne Polyurethane with a Reactive Functional Group and a Nanocomposite Made of the Same - Disclosed is a method for making waterborne polyurethane with a reactive functional group. The method includes the step of introducing a short-chain diol monomer with a reactive functional group into waterborne polyurethane polymer by pre-polymerization to provide a polyurethane pre-polymer with the reactive functional group connected to a side chain and the step of reacting the pre-polymer with hydrophilic diamine for chain extension and inter-crosslink to provide waterborne polyurethane with different crosslink degree. The short-chain diol monomer is expressed by structural formula (I) and the polyurethane pre-polymer with the reactive functional group connected to the side chain is expressed by structural formula (II) as follows: | 05-23-2013 |
20140171590 | Multifunctional Hyperbranched Organic Intercalating Agent, Method for Its Manufacture and Its Use - A facile synthesis of amphiphilic hyperbranched polymers consisting of poly(amic acid) and polyimide was developed via “A | 06-19-2014 |
20140343224 | Method for Making Waterborne Polyurethane with a Reactive Functional Group and a Nanocomposite Made of the Same - A method for forming an aqueous polyurethane dispersion including providing an isocyanate (NCO)-terminated prepolymer with a side-chain reactive functional group by admixing (i) at least one diisocyanate, (ii) at least one difunctional polyol, (iii) a short-chain diol monomer having a side-chain reactive functional group or a modified clay thereof, (iv) at least one hydroxy carboxylic acid compound and (v) a hydrophilic cosolvent; neutralizing the NCO-terminated prepolymer with a tertiary amine; dispersing the NCO-terminated prepolymer in water; and admixing the NCO-terminated prepolymer with at least one chain extending agent comprising a hydrophilic diamine; wherein the short-chain diol monomer (iii) is expressed by structural formula (I): | 11-20-2014 |
Ru-Jong Jeng, Taichung City TW
Patent application number | Description | Published |
---|---|---|
20100113686 | ONE-POT SYNTHETIC MEHTOD FOR SYNTHESIZING SILVER-CONTAINING WATERBORNE POLYURETHANE - The present invention relates to a one-pot synthetic method for synthesizing silver-containing waterborne polyurethane (WBPU). The method includes the steps of adding a silver ion-containing solution, such as silver nitrate solution, into WBPU emulsion to form a silver-containing PU emulsion by stirring, and dehydrating the silver-containing PU emulsion so as to obtain a WBPU containing nano-scaled silver particles having a uniform particle size and good dispersibility. The present invention provides a method that can be easily and speedily operated, and the silver-containing WBPU prepared according to the method of the present invention exhibits excellent antibacterial, bactericidal and deodorant effects but using a small amount of silver. | 05-06-2010 |
20110213114 | DENDRON, POLYURETHANE WITH SIDE-CHAIN REGULAR DENDRON, AND PRODUCING METHODS THEREOF - A dendron with hydrophobic functional of end group, a polyurethane with the dendron, and producing methods thereof are disclosed. The dendron with hydrophobic functional of end group in the polyurethane systems, and the honeycomb-like structure thin films were obtained by a breath-figure process. The structures of dendron and dendritic side-chain polyurethanes are respectively expressed in the following. Therein, the end-groups (R) of the dendron are long alkyl chains or perfluoroalkyl derivatives. | 09-01-2011 |
Ru-Jong Jeng, Longtan Township TW
Patent application number | Description | Published |
---|---|---|
20100130698 | DEVELOPMENT OF A NOVEL CROSS-LINKED EPOXY RESIN WITH FLAME-RETARDANT PROPERTIES - The invention discloses a novel cross-linked epoxy resin with flame-retardant properties and method for producing the same. The polymeric material of the invention includes an epoxy resin, a curing agent and a modification agent. Particularly, the modification agent is a derivative of 9,10-Dihydro-9-oxa-10-phosphaphenanthrene 10-Oxide (DOPO). Moreover, the curing agent is 4,4′-diaminodiphenyl methane (DDM), or tris(4-aminephenyl)amine (NNH). | 05-27-2010 |
20110118423 | DEVELOPMENT OF A CROSS-LINKED EPOXY RESIN WITH FLAME-RETARDANT PROPERTIES - The invention discloses a cross-linked epoxy resin with flame-retardant properties and method for producing the same. The polymeric material of the invention includes an epoxy resin, a curing agent and a modification agent. Particularly, the modification agent is a derivative of 9,10-Dihydro-9-oxa-10-phosphaphenanthrene 10-oxide (DOPO). Moreover, the curing agent is 4,4′-diaminodiphenyl methane (DDM), or tris(4-aminophenyl)amine (NNH). | 05-19-2011 |
Shan-Chuan Jeng, Hsinchu TW
Patent application number | Description | Published |
---|---|---|
20100164706 | SYSTEM AND METHOD FOR DETECTING SURROUNDING ENVIRONMENT - A system and method applicable to a vehicle for detecting a surrounding environment are provided. The system and method include installing primary and secondary display units in a vehicle; installing a plurality of detectors of various types around the vehicle; detecting obstacles around the vehicle using the plurality of different detectors; generating and outputting first detector data to be received by a primary control module; generating second detector data by integrating data to generate and transmit a primary warning message including vehicle positional information relative to the obstacle and a secondary warning message comprising obstacle positional information to the primary and secondary display units respectively for display. The system and method enable accurate detection of an obstacle via the warning messages and improved driving safety, using detectors with functions different from and complementary to one another. | 07-01-2010 |
Shan-Chuan Jeng, Tu-Cheng TW
Patent application number | Description | Published |
---|---|---|
20110040735 | SYSTEM AND METHOD FOR COMPRESSING FILES - A system and method for compressing files obtains a file to be compressed, divides the file into different sections. The system and method further compresses each section with an image compression algorithm or a text compression algorithm according a type of each section, and connects all compressed sections to obtain a compressed file. | 02-17-2011 |
20110099369 | FILE ENCRYPTION SYSTEM AND METHOD - An electronic document comparison system and method converts a test file into a compressed file having a specific format. A public key of the CA certificate of a user is obtained and a random key is generated using a random function. Furthermore, the compressed file is symmetrically encrypted using the random key, and the random key is asymmetrically encrypted using the public key to generate an asymmetric encryption key. A header of the compressed file is attached with the asymmetric encryption key and data length of the asymmetric encryption key. | 04-28-2011 |
20110150086 | METHOD FOR DISPLAYING DECODED VIDEO BIT STREAMS - A method for improving display speed of decoding video bit streams obtains the video bit streams from a storage system of a computer by a decoder, and decodes the video bit streams in a display block of a memory of the computer to obtain decoded images by the decoder. The method further selects an image from the decoded images by the decoder, and updates a reference image stored in a buffer block of the memory with the selected image. | 06-23-2011 |
20110270941 | FILE DECODING SYSTEM AND METHOD - A file decoding method uses a master server to decode multimedia files. The method records statuses of a plurality of slave servers in a status table, receives a decoding request to decode the multimedia file from a web server, and selects one slave server from the status table to process the decoding request by the master server. The method further sends an Internet protocol (IP) address of the selected slave server to a web browser by the web server, sends a decoding command to the selected slave server by the web browser, decodes the multimedia file by the selected slave server, and transmits decoded data of the multimedia file to the web browser. | 11-03-2011 |
20120016914 | ELECTRONIC DEVICE AND METHOD FOR SEEKING FILE POSITION - A method for seeking a file position of a file first seeks an estimated file position corresponding to a specified time point in the file, and adjusts the estimated file position according to a preset estimation formula. The method further determines the estimated file position is an accurate file position of the specified time point in the file until a difference between the estimated file position and the specified time point is less than a preset value. | 01-19-2012 |
20120036526 | ELECTRONIC DEVICE AND METHOD FOR EMBEDDING PRODUCT INFORMATION IN TV PROGRAMS WITH PRODUCT PLACEMENTS - A method embeds product information in TV programs with product placements using an electronic device. The electronic device encloses presented products of the TV program in a graphic box, and defines advertising information related to the presented products. During the TV program broadcasts, the electronic device receives a selection operation on a product displayed on a display device, exports the advertising information of the selected product, and embeds the advertising information of the selected product to the TV program. The advertising information is displayed on the display device concurrently with the TV program. | 02-09-2012 |
20120036527 | ELECTRONIC DEVICE AND METHOD FOR EMBEDDING PRODUCT INFORMATION IN TV PROGRAMS WITH PRODUCT PLACEMENTS - A method embeds product information in TV programs with product placements using an electronic device. The electronic device defines keywords and advertising information of products presented on a TV program, and further defines appearance times of the keywords according to product presented times. During the TV program broadcasts, the electronic device currently displays the keywords and the presented products on a display device according to the appearance times, receives a selection operation on a product presented on the display device, exports the advertising information of the selected product. The advertising information is displayed on the display device. | 02-09-2012 |
20120036539 | ELECTRONIC DEVICE AND METHOD FOR SEARCHING PRODUCT INFORMATION IN TV PROGRAMS WITH PRODUCT PLACEMENTS - A method searches product information in TV programs with product placements using an electronic device. The electronic device encloses presented products of the TV program in a graphic box, and defines keywords related to the presented products. During the TV program broadcasts, the electronic device receives a selection operation on a product displayed on a display device, and uses a search engine to search for related information of the selected product according to keywords. The searched information is displayed on the display device for users to browse. | 02-09-2012 |
20120036540 | ELECTRONIC DEVICE AND METHOD FOR SEARCHING PRODUCT INFORMATION IN TV PROGRAMS WITH PRODUCT PLACEMENTS - A method searches product information in TV programs with product placements using an electronic device. The electronic device defines keywords and appearance times of products presented on a TV program. During the TV program broadcasts, the electronic device displays the keywords of the presented products on a display device according to the predetermined appearance times. A product is selected from the presented products after any of the keyword presented on the TV program is tapped. The electronic device uses a search engine to search for related information of the selected product according to the tapped keyword, and displays the searched information on the display device for users to browse. | 02-09-2012 |
20120047527 | ELECTRONIC DEVICE AND METHOD FOR EMBEDDING PRODUCT INFORMATION IN VIDEOS WITH PRODUCT PLACEMENTS - A method embeds product information in videos with product placements using an electronic device. The electronic device converts product information of products into advertisement information, and saves the advertisement information in an ad database. The advertisement information includes product images and advertisements of the products. The electronic device exports the advertisement of a presented product displayed on a display device from the ad database according to the product images during the video broadcasts. The advertisement of the presented product is displayed on the display device. | 02-23-2012 |
20120060014 | ELECTRONIC DEVICE AND METHOD FOR PROTECTING ELECTRONIC KEYS USING THE SAME - A method for protecting electronic keys sets a plurality of hash functions, divides an electronic key into a plurality of key segments, creates a data storage structure for each of the key segments, and calculates a hash address for each of the key segments of the electronic key using each of the hash functions. The method further obtains a plurality of hash addresses of the plurality of key segments corresponding to the plurality of hash functions, stores information of the data storage structure of each key segment in a hash table according to the hash address of the key segment corresponding to one of the hash functions. | 03-08-2012 |
20120063696 | IMAGE ENCODING METHOD AND SERVER IMPLEMENTING THE METHOD - A method for encoding images receives a specified type of an image request from a terminal device, obtains encoded images from a storage device of the server directly upon the condition that the image request has been processed. The method further adds a task into a coder of the server to process the image request upon the condition that the image request has not been processed, encodes captured images by the coder according to the image request, stores the encoded images and the corresponding specified type of the image request in the storage device, and outputs the encoded images to the terminal device. | 03-15-2012 |
20120099841 | ELECTRONIC DEVICE AND METHOD FOR EMBEDDING PRODUCT INFORMATION IN VIDEOS WITH PRODUCT PLACEMENTS - A method embeds product information in videos with product placements using an electronic device. The electronic device defines product information of one or more products that are embedded in a video, and embeds the product information in an image file having a file format that is compatible with the video, the embedding according to appearance times of the one or more products. During display of the video on the display device, the electronic device determines whether the image file includes product information about a product that is presented during display of the video. If the image file includes product information about the product, the electronic device displays a prompt on the display device concurrently with the product. After receiving a selection operation to select the prompt, the electronic device displays the product information on the display device. | 04-26-2012 |
20120106779 | ELECTRONIC DEVICE AND METHOD FOR VIEWING ELECTRONIC CONFIDENTIAL DOCUMENT - An electronic confidential document viewing method is performed by an electronic device in electronic communication with a pair of eyeglasses. In response to display a confidential document on a display device, a protection image is generated based on a security image of the confidential document. The protection image is a copy of the security image that is offset from the security image by a predetermined distance in a vertical direction or a horizontal direction. The security image and the protection image are synchronously transmitted to the display device. The display device displays an overlapping picture based on the two images. The eyeglasses receive the overlapping picture, and separate the overlapping picture into two images. One of the two images is viewable by the left eye of a viewer, and another image is viewable by the right eye of the viewer. | 05-03-2012 |
20120210362 | SYSTEM AND METHOD FOR PLAYING INTERNET PROTOCOL TELEVISION USING ELECTRONIC DEVICE - An electronic device controls a client to play videos using internet protocol television (IPTV). The electronic device includes a display. The display displays a program list that includes a plurality of programs, a play option for activating play of a user selected television program and a browse option for activating display of information of the user selected television program. Videos of the programs are stored in an IPTV server connected to the client. In response to the user selects a television program on the display, the client obtains a video of the selected television program and displays the video on the client. If the user selects the browse option, the client obtains the information of the selected television program. The display of the electronic device displays the information of the selected television program. | 08-16-2012 |
20120226531 | ELECTRONIC DEVICE AND METHOD FOR EMBEDDING PRODUCT INFORMATION IN ELECTRONIC MAP WITH PRODUCT PLACEMENTS - A method embeds product information in certain features in an electronic map using an electronic device. The electronic device receives a selection of a place to display advertising information within the electronic map displayed on a display device, imports street views of the electronic map, and searches for a street view image related to the selected place. When a particular surface or other display area has been selected for embedding the advertising information, the electronic device embeds the advertising information in the display area. When the display area is selected during display, the electronic device reveals the advertising information of the product on the display device. | 09-06-2012 |
20120317301 | SYSTEM AND METHOD FOR TRANSMITTING STREAMING MEDIA BASED ON DESKTOP SHARING - A server communicates with at least one client. If the server wants to transmit streaming media to a client, the client sends a package to the server. The package indicates if the client can decode the streaming media. If the client can decode the streaming media, the server decodes the streaming media and outputs the decoded streaming media on a display of the server. The server sends the streaming media to the client. The client decodes the streaming media and outputs the decoded streaming media on a display of the client. If the client cannot decode the streaming media, the server obtains the streaming media on the desktop of the server and compresses the streaming media to a compressed file. The client receives the compressed file from the server, and decompresses the compressed file. The decompressed files are output on the display of the client. | 12-13-2012 |
20120319875 | METHOD FOR STORING NODE INFORMATION OF HUFFMAN TREE AND CORRESPONDING DECODING METHOD - A method for storing node information of a Huffman tree. The method creates an index of each node in the Huffman tree using a breadth first search (BFS) algorithm. The method further reads each node of the Huffman tree beginning from a root node according to a sequence of the index of each node, and stores node information of each node into an array of the Huffman tree. | 12-20-2012 |
Shan-Chuan Jeng, Pingtung County TW
Patent application number | Description | Published |
---|---|---|
20100085427 | IMAGE-BASED VEHICLE MANEUVERING ASSISTANT METHOD AND SYSTEM - An image-based vehicle maneuvering assistant method and system are provided in the present invention, in which images captured by a single image sensing device is processed to determine the changes with respect time by a controller having capability of image processing and identification and distance estimation in image space for providing a complete assisting image-information while the carrier is maneuvering. By means of the presented method of the presented invention, the system is capable of generating track of the carrier, view point transformation, and identifying the characteristic object in the image so as to performing the distance estimation. The present invention may be utilized and applied in different kinds of carrier type to solve the problem of guiding of carrier maneuvering, and assist the carrier lane changing, parking assistance and blind spot detection. | 04-08-2010 |
20130120576 | IMAGE-BASED VEHICLE MANEUVERING ASSISTANT METHOD AND SYSTEM - An image-based vehicle maneuvering assistant method and system are provided in the present invention, in which images captured by a single image sensing device is processed to determine the changes with respect time by a controller having capability of image processing and identification and distance estimation in image space for providing a complete assisting image-information while the carrier is maneuvering. By means of the presented method of the presented invention, the system is capable of generating track of the carrier, view point transformation, and identifying the characteristic object in the image so as to performing the distance estimation. The present invention may be utilized and applied in different kinds of carrier type to solve the problem of guiding of carrier maneuvering, and assist the carrier lane changing, parking assistance and blind spot detection. | 05-16-2013 |
Shan-Chuan Jeng, Taipei Hsien TW
Patent application number | Description | Published |
---|---|---|
20110285558 | METHOD FOR STORING NODE INFORMATION OF HUFFMAN TREE AND CORRESPONDING DECODING METHOD - A method for storing node information of a Huffman tree. The method creates an index of each node in the Huffman tree using a breadth first search (BFS) algorithm. The method further reads each node of the Huffman tree beginning from a root node according to a sequence of the index of each node, and stores node information of each node into an array of the Huffman tree. | 11-24-2011 |
Sheng-Wen Jeng, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100328210 | INERTIAL INPUT APPARATUS WITH SIX-AXIAL DETECTION ABILITY AND THE OPERATING METHOD THEREOF - An inertial input apparatus with six-axial detection ability, structured with a gyroscope and an acceleration module capable of detecting accelerations of X, Y, Z axes defined by a 3-D Cartesian coordinates, which is operable either being held to move on a planar surface or in a free space. When the inertial input apparatus is being held to move and operate on a planar surface by a user, a two-dimensional detection mode is adopted thereby that the gyroscope is used for detection rotations of the inertial input apparatus caused by unconscious rolling motions of the user and thus compensating the erroneous rotations, by which the technical disadvantages of prior-art inertial input apparatuses equipped with only accelerometer can be overcame and thus control smoothness of using the input apparatus is enhanced. | 12-30-2010 |
20120086637 | SYSTEM AND METHOD UTILIZED FOR HUMAN AND MACHINE INTERFACE - The present invention discloses a system for human and machine interface. The system includes a 3-dimensional (3D) image capture device, for capturing a gesture of a motion object in a period of time; a hand-held inertial device (HHID), for transmitting a control signal; and a computing device. The computing device includes a system integration and GUI module, for compensating the control signal according to an image signal corresponding to the motion object, to generate a compensated control signal. | 04-12-2012 |
20130094768 | Face-Tracking Method with High Accuracy - A face-tracking method with high accuracy is provided. The face-tracking method includes generating an initial face shape according to the detected face region of an input image and a learned data base, wherein the initial face shape comprises an initial inner shape and an initial outer shape; generating a refined inner shape by refining the initial inner shape according to the input image and the learned data base; and generating a refined outer shape by searching an edge of the refined outer shape from the initial outer shape toward the limit of outer shape. | 04-18-2013 |
20130100140 | HUMAN BODY AND FACIAL ANIMATION SYSTEMS WITH 3D CAMERA AND METHOD THEREOF - An animation system integrating face and body tracking for puppet and avatar animation by using a 3D camera is provided. The 3D camera human body and facial animation system includes a 3D camera having an image sensor and a depth sensor with same fixed focal length and image resolution, equal FOV and aligned image center. The system software of the animation system provides on-line tracking and off-line learning functions. An algorithm of object detection for the on-line tracking function includes detecting and assessing a distance of an object; depending upon the distance of the object, the object can be identified as a face, body, or face/hand so as to perform face tracking, body detection, or ‘face and hand gesture’ detection procedures. The animation system can also have zoom lens which includes an image sensor with an adjustable focal length f′ and a depth sensor with a fixed focal length f. | 04-25-2013 |
20130129145 | ORIENTATION CORRECTION METHOD FOR ELECTRONIC DEVICE USED TO PERFORM FACIAL RECOGNITION AND ELECTRONIC DEVICE THEREOF - A method of performing facial recognition and tracking of an image captured by an electronic device includes: utilizing a camera of the electronic device to capture an image including at least a face; displaying the image on a display screen of the electronic device; determining a degree of orientation of the electronic device; and adjusting an orientation of scanning lines used to scan the image for performing face detection so that the orientation of the scanning lines corresponds to the orientation of the electronic device. | 05-23-2013 |
Shiann Shiun Jeng, Shoufeng TW
Patent application number | Description | Published |
---|---|---|
20090125576 | Pade approximation convert circuit of direct digital frequency synthesizer - This invention relates to Pade approximation convert circuit of the direct digital frequency synthesizer in which a multiplier receives and multiplies a first input signal and a variable signal so as to produce a multiplication signal; a divider receives and divides a second input signal and a variable signal so as to produce a division signal; an adder receives and adds the multiplication signal and the division signal so as to generate an output signal, that is then returned back to the divider. A quarter period of a sinusoidal wave signal is completed by the proceeding of direct calculation two times such that the time for the calculation of a complete sinusoidal wave can be saved and the area of the calculation circuit can be reduced. | 05-14-2009 |
Shie-Chang Jeng, Pingtung County TW
Patent application number | Description | Published |
---|---|---|
20080198301 | LIQUID CRYSTAL DEVICE - A liquid crystal device including a first electrode substrate, a second electrode substrate and a liquid crystal layer is provided. The liquid crystal layer is disposed between the first electrode substrate and the second electrode substrate. The liquid crystal layer comprises liquid crystal molecules and particles. The liquid crystal molecules are vertically aligned when the applied voltage between the first electrode substrate and the second electrode substrate is less than a threshold voltage. The arrangement of the liquid crystal molecules is changed so as to produce optical changes in the liquid crystal device when the applied voltage between the first electrode substrate and the second electrode substrate is not less than the threshold voltage. | 08-21-2008 |
20080303994 | HYBRID DISPLAY - A hybrid display capable of operating under any ambient illumination. The hybrid display includes a reflective substrate, a plurality of self-emissive units and a plurality of reflective light valves. The reflective substrate has a first surface and a second surface. The self-emissive display units are arranged to form an array on the first surface of the reflective substrate and the reflective light valves are arranged to form an array on the second surface of the reflective substrate. Furthermore, the reflective light valves are suitable for modulating the reflectivity of ambient light. | 12-11-2008 |
Shie-Chang Jeng, Ping Tung Hsien TW
Patent application number | Description | Published |
---|---|---|
20090244473 | Liquid crystal display device and manufacturing method for the same - A liquid crystal display (LCD) device and a manufacture method for the same are proposed in the present invention. The LCD device of the present invention has a top substrate, a bottom substrate, an upper alignment film, a lower alignment film and a liquid crystal layer. The LCD device and the method of the present invention use different alignment materials to form the alignment films on the top and bottom substrates. Due to different properties of the alignment films, multiple liquid crystal domains with different alignment orientations are provided. | 10-01-2009 |
Shie-Chang Jeng, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100315603 | ELEVATOR SHAFT PROJECTION SYSTEM - A projection system configured in an elevator shaft is designed to project a same image at a same time on elevator shaft doors of different stories with a single projector. Each of the shaft doors functions as a projection screen, persons in the lobby before the elevator shaft door can watch the image or images displayed on the shaft door during the time waiting for the elevator car. | 12-16-2010 |
Shin-Puu Jeng, Hsin-Chu City TW
Patent application number | Description | Published |
---|---|---|
20100052065 | NEW METHOD FOR MECHANICAL STRESS ENHANCEMENT IN SEMICONDUCTOR DEVICES - The present disclosure provides an integrated circuit. The integrated circuit includes a semiconductor substrate having an active region; at least one operational device on the active region, wherein the operational device include a strained channel; and at least one first dummy gate disposed at a side of the operational device and on the active region. | 03-04-2010 |
Shin-Puu Jeng, Baoshan Township TW
Patent application number | Description | Published |
---|---|---|
20080231393 | STRUCTURE DESIGN FOR MINIMIZING ON-CHIP INTERCONNECT INDUCTANCE - A semiconductor device comprising a signal line and ground line is disclosed. The signal line comprises an opening and at least a portion of the ground line is in the opening in the signal line. | 09-25-2008 |
Shin-Puu Jeng, Hsinchu County TW
Patent application number | Description | Published |
---|---|---|
20100194501 | STRUCTURE DESIGN FOR MINIMIZING ON-CHIP INTERCONNECT INDUCTANCE - A semiconductor device comprising a signal line and ground line is disclosed. The signal line comprises an opening and at least a portion of the ground line is in the opening in the signal line. | 08-05-2010 |
Shin-Puu Jeng, Po-Shan Village TW
Patent application number | Description | Published |
---|---|---|
20100197114 | Methods of die sawing - A structure includes a substrate having a plurality of scribe line areas surrounding a plurality of die areas. Each of the die areas includes at least one first conductive structure formed over the substrate. Each of the scribe line areas includes at least one active region and at least one non-active region. The active region includes a second conductive structure formed therein. The structure further includes at least one first passivation layer formed over the first conductive structure and second conductive structure, wherein at least a portion of the first passivation layer within the non-active region is removed, whereby die-sawing damage is reduced. | 08-05-2010 |
Shou-Jiun Jeng, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100044827 | Method for making a substrate structure comprising a film and substrate structure made by same method - A method for manufacturing a substrate structure comprising a film and a substrate structure made by this method are disclosed. The method for manufacturing a substrate structure comprising a film includes the steps of: providing a target substrate; providing an initial substrate; forming an embrittlement-layer on the initial substrate; forming a device layer on the embrittlement-layer; doping with hydrogen ions; bonding the device layer with the target substrate; and separating the device layer from the initial substrate. The hydrogen ions are added into the embrittlement-layer through doping, before an energy treatment is applied to embrittle and break the embrittlement-layer, thereby separating the device layer from the initial substrate. Since the hydrogen ions are added into the embrittlement-layer through doping, a crystal lattice structure of the device layer will not be damaged during the step of doping with hydrogen ions. | 02-25-2010 |
Shwang-Ming Jeng, Hsin-Chu TW
Patent application number | Description | Published |
---|---|---|
20090250792 | Curing Low-k Dielectrics for Improving Mechanical Strength - An integrated circuit structure including reflective metal pads is provided. The integrated circuit structure includes a semiconductor substrate; a first low-k dielectric layer overlying the semiconductor substrate, wherein the first low-k dielectric layer is a top low-k dielectric layer; a second low-k dielectric layer immediately underlying the first low-k dielectric layer; and a reflective metal pad in the second low-k dielectric layer. | 10-08-2009 |
20090258487 | Method for Improving the Reliability of Low-k Dielectric Materials - A method for forming an integrated circuit structure includes providing a semiconductor substrate; forming a low-k dielectric layer over the semiconductor substrate; generating hydrogen radicals using a remote plasma method; performing a first hydrogen radical treatment to the low-k dielectric layer using the hydrogen radicals; forming an opening in the low-k dielectric layer; filling the opening with a conductive material; and performing a planarization to remove excess conductive material on the low-k dielectric layer. | 10-15-2009 |
20100065969 | Integrated circuit device - An integrated circuit device having at least a bond pad for semiconductor devices and method for fabricating the same are provided. A bond pad has a first passivation layer having a plurality of openings. A conductive layer which overlies the openings and portions of the first passivation layer, having a first portion overlying the first passivation layer and a second portion overlying the openings. A second passivation layer overlies the first passivation layer and covers edges of the conductive layer. | 03-18-2010 |
20100120253 | Post Etch Dielectric Film Re-Capping Layer - Methods for improving post etch in via or trench formation in semiconductor devices. A preferred embodiment comprises forming a re-capping layer over a dielectric film following an initial etch to form a feature in the dielectric film, followed by additional etch and etch back processing steps. The re-capping method provides protection for underlying films and prevents film damage post etch. Uniform feature profiles are maintained and critical dimension uniformity is obtained by use of the methods of the invention. The time dependent dielectric breakdown performance is increased. | 05-13-2010 |
20110223759 | Low-k Cu Barriers in Damascene Interconnect Structures - In the formation of an interconnect structure, a metal feature is formed in a dielectric layer. An etch stop layer (ESL) is formed over the metal feature and the dielectric layer using a precursor and a carbon-source gas including carbon as precursors. The carbon-source gas is free from carbon dioxide (CO | 09-15-2011 |
20120001262 | METAL CONDUCTOR CHEMICAL MECHANICAL POLISH - The present disclosure provides a method of fabricating a semiconductor device, a semiconductor device fabricated by such a method, and a chemical mechanical polishing (CMP) tool for performing such a method. In one embodiment, a method of fabricating a semiconductor device includes providing an integrated circuit (IC) wafer including a metal conductor in a trench of a dielectric layer over a substrate, and performing a chemical mechanical polishing (CMP) process to planarize the metal conductor and the dielectric layer. The method further includes cleaning the planarized metal conductor and dielectric layer to remove residue from the CMP process, rinsing the cleaned metal conductor and dielectric layer with an alcohol, and drying the rinsed metal conductor and dielectric layer in an inert gas environment. | 01-05-2012 |
20120306098 | Curing Low-k Dielectrics for Improving Mechanical Strength - An integrated circuit structure including reflective metal pads is provided. The integrated circuit structure includes a semiconductor substrate; a first low-k dielectric layer overlying the semiconductor substrate, wherein the first low-k dielectric layer is a top low-k dielectric layer; a second low-k dielectric layer immediately underlying the first low-k dielectric layer; and a reflective metal pad in the second low-k dielectric layer. | 12-06-2012 |
20130052755 | Automatically adjusting baking process for low-k dielectric material - A method includes etching a low-k dielectric layer on a wafer to form an opening in the low-k dielectric layer. An amount of a detrimental substance in the wafer is measured to obtain a measurement result. Process conditions for baking the wafer are determined in response to the measurement result. The wafer is baked using the determined process conditions. | 02-28-2013 |
20130062774 | Semiconductor Device and Method for Forming the Same - A method includes forming a metal hard mask over a low-k dielectric layer. The step of forming the metal hard mask includes depositing a sub-layer of the metal hard mask, and performing a plasma treatment on the sub-layer of the metal hard mask. The metal hard mask is patterned to form an opening. The low-k dielectric layer is etched to form a trench, wherein the step of etching is performed using the metal hard mask as an etching mask. | 03-14-2013 |
20130065394 | Process for Forming Contact Plugs - A method includes forming an etch stop layer over and contacting a gate electrode of a transistor, forming a sacrificial layer over the etch stop layer, and etching the sacrificial layer, the etch stop layer, and an inter-layer dielectric layer to form an opening. The opening is then filled with a metallic material. The sacrificial layer and excess portions of the metallic material over a top surface of the etch stop layer are removed using a removal step including a CMP process. The remaining portion of the metallic material forms a contact plug. | 03-14-2013 |
20140141611 | Surface Treatment in the Formation of Interconnect Structure - A Ultra-Violet (UV) treatment is performed on an exposed surface of a low-k dielectric layer and an exposed surface of a metal line. After the UV treatment, an organo-metallic soak process is performed on the exposed surface of the low-k dielectric layer and the exposed surface of the metal line. The organo-metallic soak process is performed using a process gas including a metal bonded to an organic group. | 05-22-2014 |
Shwang-Ming Jeng, Hsinchu City TW
Patent application number | Description | Published |
---|---|---|
20090166817 | EXTREME LOW-K DIELECTRIC FILM SCHEME FOR ADVANCED INTERCONNECTS - An extreme low-k (ELK) dielectric film scheme for advanced interconnects includes an upper ELK dielectric layer and a lower ELK dielectric with different refractive indexes. The refractive index of the upper ELK dielectric layer is greater than the refractive index of the lower ELK dielectric layer. | 07-02-2009 |
Shwang-Ming Jeng, Hsinchu TW
Patent application number | Description | Published |
---|---|---|
20080272493 | Semiconductor device - A semiconductor device is disclosed. The device includes a substrate, a first porous SiCOH dielectric layer, a second porous SiCOH dielectric layer, and an oxide layer. The first porous SiCOH dielectric layer overlies the substrate. The second porous SiCOH dielectric layer overlies the first porous SiCOH dielectric layer. The oxide layer overlies the second porous SiCOH dielectric layer. The atomic percentage of carbon in the second porous SiCOH dielectric layer is between 16% and 22% of that in the first porous SiCOH dielectric layer. | 11-06-2008 |
20120077339 | METHOD OF AND APPARATUS FOR ACTIVE ENERGY ASSIST BAKING - A method of and apparatus for forming interconnects on a substrate includes etching patterns in ultra-low k dielectric and removing moisture from the ultra-low k dielectric using active energy assist baking. During active energy assist baking, the ultra-low k dielectric is heated and exposed to light having only wavelengths greater than 400 nm for about 1 to about 20 minutes at a temperature of about 300 to about 400 degrees Celsius. The active energy assist baking is performed after wet-cleaning or after chemical mechanical polishing, or both. | 03-29-2012 |
20130273732 | METHOD OF AND APPARATUS FOR ACTIVE ENERGY ASSIST BAKING - An Active Energy Assist (AEA) baking chamber includes an AEA light source assembly and a heater pedestal. The AEA baking chamber further includes a controller for controlling a power input to the AEA light source assembly and a power input to the heater pedestal. A method of forming interconnects on a substrate includes etching a substrate and wet cleaning the etched substrate. The method further includes active energy assist (AEA) baking the substrate after the wet-cleaning. The AEA baking includes placing the substrate on a heater pedestal in an AEA chamber, exposing the substrate to light having a wavelength equal to or greater than 400 nm, wherein said light is emitted by a light source and controlling the light source and the heater pedestal using a controller. | 10-17-2013 |
Shyn-Kang Jeng, Taichung TW
Patent application number | Description | Published |
---|---|---|
20100011297 | METHOD AND SYSTEM FOR GENERATING INDEX PICTURES FOR VIDEO STREAMS - A method and system is proposed for generating index pictures for video streams, where the index pictures can be used in a video database for visual browsing by users to quickly find and retrieve video clips or files from the video database. The proposed method and system operates in such a manner as to first create a set of content items of particular interest or concern (particularly moving objects), and then combine each content item together with an associated activity record dataset in a predefined manner into a single image to serve as an index picture. In practice, each moving object and its associated activity record dataset can be displayed by means of 2D (two-dimensional) or 3D (three-dimensional) graphic icons or imagery. | 01-14-2010 |
Shyr Long Jeng, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20120147640 | POWER CIRCUIT AND DIRECT CURRENT TO DIRECT CURRENT CONVERTER THEREOF - A power circuit is applicable to a Direct Current (DC) to DC converter. The power circuit includes a gate driver circuit and a High Electron Mobility Transistor (HEMT). The gate driver circuit functions as a Sigmoid (S) function and controls a gate and a source of the HEMT with a cross voltage of the sigmoid (S) type function. Accordingly, an overall characteristic curve of the HEMT and the gate driver circuit is like a characteristic curve of a single rectifier diode, so as to achieve a rectifying, freewheeling, or reversing effect. In addition, since an energy loss is low when the HEMT is conducted, the energy loss of the whole power circuit is much less than that of a conventional diode. | 06-14-2012 |
20130161708 | SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor structure and a method for manufacturing the same are provided. The semiconductor structure includes a substrate, a die and a medium. The substrate has an upper substrate surface. The substrate has a trench extended downward from the upper substrate surface. The trench has a side trench surface. The die is in the trench. The die has a lower die surface and a side die surface. The lower die surface is below the upper substrate surface. A part of the trench between the side trench surface and the side die surface is filled with the medium. | 06-27-2013 |
Shyr-Long Jeng, Hsinchu TW
Patent application number | Description | Published |
---|---|---|
20130300322 | EMBEDDED INDUSTRIAL CONTROLLER WITH BICYCLE FRAME SHAPE - An embedded industrial controller with a bicycle frame shape is disclosed. The embedded industrial controller includes a casing with the bicycle frame shape having an upper tube, a lower tube, a front fork, a rear lower fork, a rear upper fork and a base tube, a motherboard, a battery module, a power electrical port and a plurality of input and output electrical ports. The embedded industrial controller with a bicycle frame shape of the present invention has significantly improved functions than the conventional industrial controller, and further meets the conventional requirements such as dust proof, vibration proof, and heat dissipation. | 11-14-2013 |
20130306829 | SUN-CHASING DEVICE - A sun-chasing device is provided, including a base, a first transmitter disposed on the base, a second transmitter, a support, a carrier pivotally connected to the support for carrying a solar module, a first supporting component pivotally connected to the first transmitter and the carrier, and a second supporting component pivotally connected to the second transmitter and the carrier. The sun-chasing device has great rigidity and carrying ability against strong wind, and has great precision and rotation angle, such that a solar plate can precisely aim at sun for long time and thus the efficiency of a solar module is significantly increased. | 11-21-2013 |
Tsang-Fang Jeng, Hsinchu City TW
Patent application number | Description | Published |
---|---|---|
20090182457 | PROTECTION GAS CONTROL METHOD AND APPARATUS FOR NON-SEALED PROCESS CHAMBER - The present invention relates to a protection gas control method and a protection gas control apparatus for a non-sealed process chamber. The protection gas control method comprising steps of: activating a protection gas control apparatus while coupling a protection gas to a plurality of throttle valves; defining an opening time for each of the throttle valves; setting up a first target value and a second target value related to the oxygen concentration in a chamber; heating up the chamber; determining whether a processing apparatus is in operation; determining whether an inlet/outlet for the chamber is opened; opening all the throttle valves for a pre-determined period of time; closing at least one of the throttle valves if the oxygen concentration in the chamber is smaller than or equal to the first target value; closing at least one of the throttle valves; determining whether the oxygen concentration in the chamber is smaller than or equal to the second target value; closing at least one of the throttle valves; keeping the number of throttle valves that are opened; and stopping supplying the protection gas when the temperature of the chamber is lower than a pre-determined temperature. | 07-16-2009 |
20120243952 | ON LINE VIBRATION DETECTED AND INTELLIGENT CONTROL APPARATUS FOR CUTTING PROCESS WHICH INTEGRATED WITH MACHINE TOOL'S I/O MODULE AND METHOD THEREOF - An on-line vibration detected and intelligent control apparatus for cutting process which integrated with machine tool's I/O module and a method thereof are disclosed, which can detect vibration of the machine tool during a machining process and calculate a gain, which can be a plus value or a minus value, according to the detected vibration signal. By adding the gain to the current spindle speed or the current feed rate of the machining process, an updated spindle speed or an updated feed rate is obtained so as to be used in the machining process for compensating and thus preventing the vibration of the machine tool during the machining process. | 09-27-2012 |
Tsung-Fu Jeng, Tainan County TW
Patent application number | Description | Published |
---|---|---|
20120209092 | NON-INVASIVE APPARATUS AND METHOD FOR MEASURING HUMAN METABOLIC CONDITIONS - In a non-invasive human metabolic condition measuring apparatus and method, a micro-light source emits an incident light having a wavelength from 329 nm to 473 nm to trigger a mitochondrial metabolite of a human mucosa tissue, and the metabolite is excited to generate a fluorescent signal having a wavelength from 405 nm to 572 nm, and the fluorescent signal is filtered by an optical filter, received by a micro receiver, and amplified by an amplification circuit sequentially, and then a filter circuit and an analog/digital conversion circuit of a microprocessing unit are provided for filtering and performing an analog/digital signal conversion respectively, so that the metabolite content can be calculated by the computation to provide human metabolic conditions, and a combination of micro components and circuits is used for miniaturizing the apparatus to provide a convenient carry of the apparatus. | 08-16-2012 |
Wei-De Jeng, Taoyuan County TW
Patent application number | Description | Published |
---|---|---|
20140022336 | CAMERA DEVICE - A camera device comprises more than three image capture modules used to capture more than perspective images of a 3D (3-dimensional) object; and an administration module electrically connecting with the image capture modules, receiving and administrating the perspective images of the 3D object. The present invention uses the image capture modules to capture the perspective images of the 3D object from different shooting angles and synthesizes the perspective images of the 3D object into a 3D panoramic image of the 3D object. Besides, the present invention can precisely obtain the dimensional information, such as the size, length and width, of the object in the image. Therefore, present invention can effectively promote the quality of 3D panoramic images of a 3D object. | 01-23-2014 |
Wen-Hau Jeng, New Taipei City TW
Patent application number | Description | Published |
---|---|---|
20130141641 | IMAGE PROCESSING METHOD AND ASSOCIATED IMAGE PROCESSING APPARATUS - An image processing method includes: receiving a plurality of image frames; receiving a definition signal; and performing an noise reduction operation upon the image frames according to the definition signal, where the definition signal is utilized for representing a sharpness level of the image frames, and a degree of the noise reduction operation the image frames being processed is varied with the sharpness level of the image frames. | 06-06-2013 |
Yeau-Ren Jeng, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20120040090 | METHOD FOR ENHANCING HARDNESS OF NANOIMPRINT MOLD - The present invention discloses a method for enhancing hardness of a nanoimprint mold comprising following steps of: providing a male mold, composed of a first material, for imprinting a female mold and producing a nano structural pattern on the female mold, and the female mold composed of a second material, wherein the hardness of the first material is higher than the hardness of the second material; applying a nano-indentation technique to the female mold onto the female mold by a nano-indentation apparatus having a diamond array probe so as to enhance the surface hardness of the female mold; and impressing a substrate by the female mold with the nano structural pattern having the enhanced surface. | 02-16-2012 |
20130112025 | BALL SCREW ASSEMBLY BASED ON HEAT-PIPE THERMAL DISSIPATION - A ball screw assembly based on heat-pipe thermal dissipation is composed of a ball screw and at least one heat pipe. The ball screw includes a threaded shaft, a nut, and a plurality of balls mounted between the threaded shaft and the nut. The at least one heat pipe defines a main body and an extended part. The main body is mounted to the ball screw. The extended part extends outwardly for a predetermined length from the ball screw for thermal dissipation or connection with a heat-dissipating device. | 05-09-2013 |
20140165759 | BALL SCREW CAPABLE OF REAL-TIME SURVEILLANCE AND CONTROL OF PRELOAD - A ball screw includes a screw rod having an external thread; two screw nuts having an internal thread and a circulatory passage each, a spiral path being formed between the internal threads and the external thread and communicating with the circulatory passage, each of the two screw nuts having a keyway located on respective toric surfaces of opposite ends of the two screw nuts, the two keyways facing each other; a link mounted inside the two keyways to traverse the two screw nuts; a force sensor mounted between and squeezed by opposite sides of the two screw nuts; and a plurality of balls located inside the spiral path or the circulatory passage and keeping rolling while moving. | 06-19-2014 |
20150020327 | BAND-SAW CLEANING MECHANISM FOR BAND SAW MACHINE - A band-saw cleaning mechanism includes a cleaning unit having a steel brush, a first motor having a driving shaft, and a linking rod connected between the steel brush the driving shaft; a torque sensor mounted to the driving shaft for sensing the torque of the driving shaft and for emitting a sensing signal; a regulation unit having a second motor and a regulation screw having two ends connected with the second motor and the linking rod, respectively; and the control unit connected with the torque sensor and the second motor for controllably making the second motor regulate the regulation screw for prolongation according to the sensing signal. While the regulation screw is prolonged, the linking rod can be pushed to change the contact distance between the steel brush and the band saw for proper contact therebetween. | 01-22-2015 |
20150020628 | BALL SCREW CAPABLE OF SENSING PRELOAD - A ball screw capable of sensing a preload is formed of a nut having an internal thread surrounding an imaginary central axis, a screw inserted into the nut and having an external thread surrounding the imaginary central axis, and a plurality of balls are mounted between the internal and external threads in a way that the balls roll therebetween. A mounting hole having a mounting surface therein is formed in the nut. The mounting surface corresponds to the internal thread in a way that it is substantially perpendicular to the imaginary central axis. A force sensor is mounted to the mounting surface. The force sensor can directly sense the preload in real time, so it is uneasily adversely affected by any environmental factor to have more accurate sensing result relatively. | 01-22-2015 |
20150020660 | BAND SAW MACHINE CAPABLE OF AUTOMATICALLY REGULATING CUTTING SPEED - A band saw machine includes a chassis, a motor mounted to chassis, a driving wheel mounted to the chassis and connected with the motor, a driven wheel mounted to the chassis, a band saw running on the driving and driven wheels, a band-saw regulator mounted to the chassis and located between the driving and driven wheels, and a force sensor mounted inside the band-saw regulator for sensing how much a force is applied to the band-saw regulator in the process of cutting and for emitting a sensing signal to a control system. In this way, the control system can control the rotational speed of the motor according to the sensing signal received from the force sensor to automatically regulate the cutting speed. | 01-22-2015 |
20150027288 | BAND SAW MACHINE CAPABLE OF REGULATING BAND-SAW TENSION - A band saw machine includes a working bench, a driving wheel mounted to the working bench, a driven wheel mounted to the working bench, and a band saw running on the driving and driven wheels. A storage member and a hydraulic cylinder connected with the storage member are mounted to the working bench. The hydraulic cylinder includes a piston rod for pushing against the driven wheel to make the driven wheel tighten the band saw while moving relative to the driving wheel. A force sensor is mounted between the piston rod and the driven wheel for sensing the tension of the band saw and emitting a sensing signal to a control system. In this way, the control system can receive the sensing signal and controllably making the storage member supply oil to the cylinder body according to the sensing signal to force the piston rod to elongate. | 01-29-2015 |
Yeau-Ren Jeng, Tainan TW
Patent application number | Description | Published |
---|---|---|
20080287045 | Low-stress polishing device - A low-stress polishing device includes a base; a plurality of actuators mounted to the base and spaced from each other in a predetermined interval, each of the actuators having a drive shaft and a buffer spring connected with the drive shaft for providing the drive shaft with a predetermined impulsive pressure, each of the drive shafts having a buffer pad located at a distal end thereof; at least one drive circuit electrically connected with the actuators for control of driving the actuators; a working plate mounted to the buffer pads; and a polishing pad mounted to the working plate. Accordingly, the vibration mode generated by the present invention can provide a dynamic pressure working on the wafer surface for destroying the chemical product on the wafer surface and thus the present invention is applicable to polishing of low-dielectric integrated copper process. | 11-20-2008 |
20090272172 | METHOD FOR GENERATING NANO PATTERNS UPON MATERIAL SURFACES - The present invention discloses a method for generating nano patterns upon material surfaces. The method for generating nano patterns upon material surfaces comprises the following steps: providing a thin film capable of controlling lattice directions, applying a nanoindentation action to the thin film to generate an indentation at a specific position on the thin film. At least one hillock is then generated in a specific direction to generate a pattern and to be applied to a data storage system. | 11-05-2009 |
20090285926 | APPARATUS FOR ENHANCING HARDNESS OF NANOIMPRINT MOLD AND METHOD THEREOF - The present invention discloses a hardness enhancing apparatus for use in a nanoimprint mold, comprising a female mold, a male mold and a hardness enhancing portion. The male mold composed of a first material is used to imprint a female mold so as to produce a nano structural pattern on the female mold. The female mold is composed of a second material, and the hardness of the first material is higher than the hardness of the second material. The hardness enhancing portion that utilizes a nano-indentation apparatus above the female mold applies the nano-indentation technique to the female mold in order to enhance the surface hardness of the second material. | 11-19-2009 |
20110136407 | Method for predicting the polishing characteristics and life-span of a soft polishing pad - A method for predicting the polishing characteristics and life-span of a soft polishing pad includes the steps of: (a) establishing a matching database by means of performing a series of dynamic analysis tests on a new soft polishing pad by a contact probe at different frequencies and under different loads and detecting the surface reaction of the new soft polishing pad, (b) performing a dynamic analysis test on a to-be-tested soft polishing pad by a contact probe at a predetermined frequency and under a predetermined load and detecting the surface reaction of the to-be-tested soft polishing pad, and (c) comparing the surface reaction data of the to-be-tested soft polishing pad with the matching database and predicting the polishing characteristics and life-span of the to-be-tested soft polishing pad subject to the comparison result. Subject to the aforesaid steps, the polishing characteristics and life-span of the to-be-tested soft polishing pad can be predicted without making an actual polishing test, thereby saving a large amount of time and cost. | 06-09-2011 |
Yih Jeng, Kaosiung City TW
Patent application number | Description | Published |
---|---|---|
20110153520 | Asset Investment Simulation System Based on Investment Behavior Analysis, Time Compression, and Event Re-Sequencing - A asset investment simulation system based on investment behavior analysis, time compression, and event re-sequencing has a network server containing a user profile module for account and password management, an event database containing domestic and international events that affected the financial market, a scenario module for selection of country of investment and time period, an economic windows module for market news for the determination of investment target and amount, an asset allocation module for portfolio suggestions, a trading interface for online trading upon reviewing news messages and economic indexes, a performance evaluation module for performance management and detail data display of account value, portfolio, and performance, and an investment behavior analysis module for investment behavior analysis and investment behavior suggestions. | 06-23-2011 |
Yih-Nen Jeng, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110158489 | DETECTION DEVICES AND DETECTION METHOD - A detection device for detecting specific operation information of a subject is provided and includes an image capturing unit, an image processing unit, a signal processing unit, and a detection unit. The image capturing unit captures pictures of the subject to generate image signals respectively. Each of the image signals has gray-level values. The image processing unit sums the gray-level values of each of the image signals to obtain a brightness value of the corresponding image signal. The signal processing unit generates an action signal according to the brightness values, removes a non-periodic component of the action signal, and calculates a spectrum of the action signal whose non-periodic component is removed. The detection unit checks the spectrum according to a detection rule to obtain the specific operation information of the subject. | 06-30-2011 |
Yoau-Chau Jeng, Sioushuei Township TW
Patent application number | Description | Published |
---|---|---|
20110108131 | Method of Manufacturing Lazurite-Made Faucet and Apparatus Thereof - A method of manufacturing lazurite-made faucet and apparatus thereof makes use of injecting wax into a soft silicone mold to form a wax mold, coating gypsum on the wax mold to form a gypsum body, heating the gypsum body to melt and guide the wax mold thereout to form a hollow gypsum body. Alternatively, a stainless steel body can be coated by gypsum to be placed in a shaping cast, and a heating furnace filled for melting lazurite pellets into liquid lazurite and dripping them into the shaping cast to fill the vacant space between the bodies and the shaping cast. After cooling, the shaping cast is taken out to attain a preliminary lazurite-material faucet body. Lastly, the gypsum body is smashed to shape the lazurite-made faucet body; with a further surface processing procedure and assembling with other parts, a satisfied and worthy lazurite-made faucet is achieved. | 05-12-2011 |
Yu-Lung Jeng, Lung-Tan Hsiang TW
Patent application number | Description | Published |
---|---|---|
20090318062 | Polishing pad and polishing device - The present invention provides a polishing pad with a pressure sensor and the polishing apparatus thereof. The polishing pad is used in the polishing process of semiconductor and other work piece, which includes at least one substrate, at least one pressure sensor and at least one signal transmitting module. The substrate has a polishing face, a bottom face opposite to the polishing face, and at least one cavity set on the bottom face of the substrate. The pressure sensor is set in the cavity and configured for providing a pressure signal. The signal transmitting module is set on the polishing pad and configured for transmitting a pressure signal. The pressure signal is a loading pressure value and/or loading pressure distribution on the polishing pad with a preset range. Thus, with the pressure signal, the polishing apparatus prevents the concerned work piece from being over polished to further improve polishing quality and yield. | 12-24-2009 |
20090318067 | Polishing pad and the method of forming micro-structure thereof - This invention is related to a polishing pad, and more particularly a polishing pad with flexible micro-structure. The polishing pad comprises a connecting surface and a polishing surface. The connecting surface is used to secure on a polishing device. The polishing surface with flexible micro-structure is used to grind and adequately press close to the surface of semiconductor piece. The present invention not only increases the area of polishing surface that contact with the semiconductor piece but also get over the difficulty in pressing close to different piece. It will save grinding time and have a better effect. | 12-24-2009 |
20100056031 | Polishing Pad - The present invention provides a polishing pad, and is more particularly related to a polishing pad, the width of groove bottom of polishing surface of which is 0 mm. The polishing pad includes a polishing surface on which comprises a plurality of grooves, wherein each groove includes a groove opening and a groove bottom, the characteristic of which being that the width of groove bottom of polishing surface is 0 mm. Therefore, when the polishing step is performed, it is not easy for polishing particles suspended in the slurry to deposit on the groove bottom and the deposits can be prevented from scratching the concerned work piece to avoid damage of work piece. | 03-04-2010 |
20100105303 | Polishing Pad - The present invention provides a polishing pad, and more particularly, a polishing pad with two kinds of groove patterns. The polishing pad includes a polishing surface, on which is formed with at least a first groove and at least a second groove, wherein the characteristic of the polishing pad is in that: the first groove is connected to the second groove, and the width of first groove is larger than that of the second groove and the depth of first groove is larger than that of the second groove. Therefore when the polishing step is performed using the polishing pad, smaller scraps produced after polishing or smaller polishing particles in the slurry or more turbid slurry can flow out of the polishing pad via the second groove, and larger scraps or particles can flow out of the polishing pad via the first groove. Thus the polishing particles or deposits will not easily remain on the polishing pad or further cause scratching and damaging of the surface of polished work piece. | 04-29-2010 |
20100273404 | Polishing Pad and Polishing Device - A polishing pad used in semiconductor polishing process is provided in the present invention and a pressure sensitive adhesive is used to couple the polishing pad. The polishing pad includes a substrate, and the substrate includes a polishing surface and a reverse surface corresponding to the polishing surface. The polishing pad is characterized by: a pressure sensitive adhesive formed on the reverse surface of the substrate and used to couple with a bottom layer, and the horizontal adhesion of the pressure sensitive adhesive is higher than the vertical adhesion of the pressure sensitive adhesive. | 10-28-2010 |