Chen, Kaohsiung City
Alan Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100247773 | ALLOY SUSCEPTOR WITH IMPROVED PROPERTIES FOR FILM DEPOSITION - Provided is a method for processing a wafer that includes providing an alloy susceptor including an exterior surface and a wafer contact surface. The exterior surface of the alloy susceptor is treated to produce a roughness of the exterior surface. The roughened exterior surface of is coated with a ceramic material. The alloy susceptor including the ceramic-coated roughened exterior surface is positioned in a wafer process chamber. A plurality of layers of a film are deposited on the ceramic-coated roughened exterior surface of the alloy susceptor, wherein a first adhesion exists between the plurality of layers of the film and the ceramic material coated on the roughened exterior surface of the alloy susceptor that is greater than a second adhesion that would exist between the plurality of layers of the film and a non-roughened exterior surface of the alloy susceptor without the ceramic material. | 09-30-2010 |
20140175951 | PIEZOELECTRIC DEVICE - A piezoelectric device includes a nanoimprinted film which is made from a ferroelectric polymer having a first conformation state and coated on a substrate. The ferroelectric polymer is heated at a temperature between a Curie point (Tc) and a melting point (Tm) of the ferroelectric polymer to cause a change in conformation of the ferroelectric polymer from the first conformation state to a second conformation state, and is then subjected to a nanoimprinting process at an imprinting temperature lower than Tc to cause a change in conformation of the ferroelectric polymer from the second conformation state to a third conformation state that is different from the first conformation state, thereby obtaining the nanoimprinted film. | 06-26-2014 |
Bang-Cheng Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100020654 | METHOD AND APPARATUS FOR DATA BUFFER CONTROL OF OPTICAL DISC PLAYER - An optical disc player for playback of a multimedia file stored in an optical disc is disclosed. The optical disc player includes a front-end loader and a back-end playback engine. The front-end loader, including a first data buffer, reads data from the optical disc and stores the read data in the first data buffer when the amount of data stored in the first data buffer is less than a first threshold. The back-end playback engine receives the data from the first data buffer and plays a multimedia segment corresponding to the received data. The front-end loader increases the first threshold of the first data buffer before a time-consuming servo behavior occurs. | 01-28-2010 |
Chan-Tung Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090191979 | GOLF CLUB HEAD - A golf club head is disclosed. The golf club head comprises a main body, a striking plate and a thermoplastic elastic vibration-absorbing material. The main body includes a chamber. The striking plate is disposed on the front side of the main body. The thickness of the striking plate is between 0.76 mm and 2.25 mm. The thermoplastic elastic shock absorber is formed in the chamber of the main body and touches the back of the striking plate, wherein the density of the thermoplastic elastic vibration-absorbing material is between 0.5 g/cm | 07-30-2009 |
Chia-Heng Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090249404 | Video Playing System and Method Thereof, and Computer-Readable Recording Medium - A video playing system and a method thereof are described. When the video playing system randomly plays sections of a multimedia video, it can control scheduled events in-between so as to ensure the user does not miss important events when an important blocking effect exists in the multimedia video schedule. During the random playing of the multimedia video, the video playing system will detect the scheduled event(s) between an original playing position and a new playing position. Then, the video playing system will execute the earliest scheduled event between the original playing position and the new playing position, or execute all of the scheduled events in sequence. | 10-01-2009 |
Chia-Ho Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110234540 | BACKGROUND IMAGE UPDATING METHOD AND TOUCH SCREEN - A background image updating method is adapted to a touch screen including a first and a second image modules. A first and a second images are captured by the first and the second image modules as a first and a second background images, respectively. (a) Whether an absolute value of a brightness difference between a third image captured by the first image module and the first background image is greater than a predetermine value is compared. (b) If the result of the step(a) is yes, whether an absolute value of a brightness difference between a fourth image captured by the second image module and the second background image is greater than another predetermine value is compared. (c) If the result of the step(b) is yes, a fifth and a sixth images are captured by the first and the second image modules as the first and the second background images, respectively. | 09-29-2011 |
20120140489 | Illumination Device with a Lamp Cap Capable of Dissipating Heat and Spreading Light - An illumination device includes: a mounting unit; a lighting unit including a circuit board mounted on the mounting unit, and at least one light emitting component mounted on the circuit board; and a lamp cap mounted on the mounting unit for covering the lighting unit. The lamp cap includes a surrounding wall disposed around the lighting unit, a central top wall disposed spacedly in the surrounding wall, and a plurality of spaced apart connecting ribs interconnecting the surrounding wall and the central top wall such that a plurality of vent holes are formed among the surrounding wall, the central top wall and the connecting ribs. | 06-07-2012 |
Chia-Hsi Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20080261402 | METHOD OF REMOVING INSULATING LAYER ON SUBSTRATE - A method of removing an insulating layer on a substrate is described, including a first CMP process and a second CMP process performed in sequence, wherein the polishing slurry used in the first CMP process and that used in the second CMP process have substantially the same pH value that exceeds 7.0. A cleaning step is conducted between the first and the second CMP processes to remove a specific substance which would otherwise cause undesired particles to form in the second CMP process. | 10-23-2008 |
20080305610 | METHOD FOR MANUFACTURING SHALLOW TRENCH ISOLATION STRUCTURE - A method of forming a shallow trench isolation structure includes steps of providing a substrate having a patterned mask layer formed thereon, wherein a trench is located in the substrate and the patterned mask layer exposes the trench. Thereafter, a dielectric layer is formed over the substrate to fill the trench. Then, a main polishing process with a first polishing rate is performed to remove a portion of the dielectric layer. An assisted polishing process is performed to remove the dielectric layer and a portion of the mask layer. The assisted polishing process includes steps of providing a slurry in a first period of time and then providing a solvent and performing a polishing motion of a second polishing rate in a second period of time. The second polishing rate is slower than the first polishing rate. Further, the mask layer is removed. | 12-11-2008 |
Chia-Hsu Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090129237 | BEAM SHAPING MODULE - A beam shaping module capable of receiving a polarized beam from a light source is disclosed, which comprises: a beam splitter, capable of splitting the polarized beam into a first beam and a second beam wherein the polarization directions of the first beam and the second beam are perpendicular to each other; and a beam combiner, capable of shaping and combining the first beam and the second beam; wherein the beam combiner is configured to reverse the energy distribution pattern of one of the first beam and the second beam. | 05-21-2009 |
20100053070 | MULTI-DIMENSIONAL OPTICAL CONTROL DEVICE AND A CONTROLLING METHOD THEREOF - A multi-dimensional optical control device and a method thereof are provided. A movable light source can be moved due to an external action, and produce a light beam. A lens coupled to the light source is to focus the light beam. A sensor is used to sense a spot formed on the sensor by the focused light beam, and a data processing circuit coupled to the sensor is to obtain variations of position, shape and light intensity in respect to a reference spot. According to such variations of position, shape and light intensity, the data processing circuit performs a motion control of multiple dimensions | 03-04-2010 |
20110149574 | ILLUMINATION SYSTEM - An illumination system including a light source and a magneto-optical device is provided. The light source is adapted to emit a beam, and at least a part of the beam is polarized. The magneto-optical device is disposed in a transmission path of the beam and includes a plurality of magneto-optical material units. The magneto-optical material units are adapted to be disposed in the transmission path of the beam, and at least a part of the magneto-optical material units has different optical rotation. The magneto-optical device is adapted to move so as to make the magneto-optical material units move with respect to the beam. | 06-23-2011 |
Chiao-Min Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100017691 | AUDIO CODEC AND BUILT-IN SELF TEST METHOD FOR THE SAME - An audio codec and a BIST method adapted for the audio codec are provided. The BIST method includes the following steps. A first channel digital-to-analog converter (DAC) of the audio codec converts a test signal into an analog signal. A first channel analog-to-digital converter (ADC) of the audio codec converts the analog signal into a digital signal. Use a second channel DAC of the audio codec and a second channel ADC of the audio codec to calculate the magnitudes of a plurality of spectral components of the DFT of the digital signal. Determine whether the audio codec passes the test according to the magnitudes of the spectral components. | 01-21-2010 |
Chia Ping Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20080226118 | System and method for watermarking data - System and method for digitally watermarking data. A preferred embodiment comprises a quantizer to limit a data value provided by a signal input to a set of values, a rounder unit coupled to the quantizer, a control unit coupled to the rounder unit and to a watermark input, and a multiplexer having a first input coupled to the rounder unit and a control input coupled to the control unit. The rounder unit rounds a quantized data value to a nearest integer, the control unit provides a control signal based on the rounded, quantized data value and a parity of a watermark provided by the watermark input, and the multiplexer selects between an output of the rounder unit, an incremented output of the counter unit, and a decremented output of the counter unit, based on the control signal provided by the control unit. | 09-18-2008 |
Chieh Hung Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090156146 | DUTY-TO-VOLTAGE AMPLIFIER, FM RECEIVER AND METHOD FOR AMPLIFYING A PEAK OF A MULTIPLEXED SIGNAL - A FM receiver comprises an IF filter, a demodulator and a decoder. The IF filter generates an RSSI and a FM modulated signal in response to a FM signal. The demodulator comprises a duty-to-voltage amplifier for amplifying a peak of a MPX signal. The duty-to-voltage amplifier comprises a current source, a switch and a current splitter. The current source generates a current. The switch controls a flow of the first current in response to a PWM signal. The current splitter splits the flow of the current into a sub-flow in response to the RSSI. The peak of the MPX signal is proportional to the sub-flow. The decoder receives the MPX signal to generate an audio signal to play sound. | 06-18-2009 |
Chieh-Te Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090294927 | SEMICONDUCTOR-DEVICE ISOLATION STRUCTURE AND MANUFACTURING METHOD THEREBY - A manufacturing method for a semiconductor-device isolation structure comprises providing a substrate with at least one shallow trench isolation structure, performing a salicide process that forms a recess on the surface of the shallow trench isolation structure, forming a cap film covering the substrate and filling the recess, performing an etching process to remove the cap film outside the recess, and forming a contact etch stop layer covering the substrate and filling the recess. Due to the filling recess with the cap film first, the contact etch stop layer covering the substrate and filling the recess does not have seams or voids. | 12-03-2009 |
20100213554 | GATE STRUCTURE AND METHOD FOR TRIMMING SPACERS - A gate structure includes a gate disposed on a substrate, a first spacer disposed on the substrate and surrounding the gate and a second spacer disposed on the first spacer and surrounding the gate, the second spacer is lower than the first spacer. | 08-26-2010 |
20120034780 | METHOD FOR FABRICATING A SEMICONDUCTOR DEVICE - A method for forming a semiconductor device. A substrate having thereon at least one small pattern and at least one large pattern is provided. A sacrificial layer is deposited to cover the small pattern and the large pattern. A chemical mechanical polishing is performed to planarize the sacrificial layer. The sacrificial layer is then dry etched to a thickness that is smaller than a height of the small pattern and the large pattern, thereby revealing an oxide hard mask of the small pattern and the large pattern. The oxide hard mask is then selectively removed. | 02-09-2012 |
20120132996 | STRAINED SILICON STRUCTURE - A strained silicon substrate structure includes a first transistor and a second transistor disposed on a substrate. The first transistor includes a first gate structure and two first source/drain regions disposed at two sides of the first gate structure. A first source/drain to gate distance is between each first source/drain region and the first gate structure. The second transistor includes a second gate structure and two source/drain doped regions disposed at two side of the second gate structure. A second source/drain to gate distance is between each second source/drain region and the second gate structure. The first source/drain to gate distance is smaller than the second source/drain to gate distance. | 05-31-2012 |
20130005151 | METHOD FOR FORMING CONTACT HOLES - In an exemplary method for forming contact holes, a substrate overlaid with an etching stop layer and an interlayer dielectric layer in that order is firstly provided. A first etching process then is performed to form at least a first contact opening in the interlayer dielectric layer. A first carbon-containing dielectric layer subsequently is formed overlying the interlayer dielectric layer and filling into the first contact opening. After that, a first anti-reflective layer and a first patterned photo resist layer are sequentially formed in that order overlying the carbon-containing dielectric layer. Next, a second etching process is performed by using the first patterned photo resist layer as an etching mask to form at least a second contact opening in the interlayer dielectric layer. | 01-03-2013 |
20130087861 | SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREOF - A semiconductor device comprises a metal gate electrode, a passive device and a hard mask layer. The passive device has a poly-silicon element layer. The hard mask layer is disposed on the metal gate electrode and the passive electrode and has a first opening and a second opening substantially coplanar with each other, wherein the metal gate electrode and the poly-silicon element layer are respectively exposed via the first opening and the second opening; and there is a distance between the first opening and the metal gate electrode substantially less than the distance between the second opening and the poly-silicon element layer. | 04-11-2013 |
20130109151 | METHOD FOR FORMING VOID-FREE DIELECTRIC LAYER | 05-02-2013 |
20130200393 | SEMICONDUCTOR STRUCTURE AND PROCESS THEREOF - A semiconductor structure includes a substrate, a resist layer, a dielectric material, two U-shaped metal layers and two metals. The substrate has an isolation structure. The resist layer is located on the isolation structure. The dielectric material is located on the resist layer. Two U-shaped metal layers are located at the two sides of the dielectric material and on the resist layer. Two metals are respectively located on the two U-shaped metal layers. This way a semiconductor process for forming said semiconductor structure is provided. | 08-08-2013 |
20130292775 | STRAINED SILICON STRUCTURE - A strained silicon substrate structure includes a first transistor and a second transistor disposed on a substrate. The first transistor includes a first gate structure and two first source/drain regions disposed at two sides of the first gate structure. A first source/drain to gate distance is between each first source/drain region and the first gate structure. The second transistor includes a second gate structure and two source/drain doped regions disposed at two side of the second gate structure. A second source/drain to gate distance is between each second source/drain region and the second gate structure. The first source/drain to gate distance is smaller than the second source/drain to gate distance. | 11-07-2013 |
20140073104 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A manufacturing method of a semiconductor device is disclosed in the present invention. First, at least one gate structure and plurality of source/drain regions on a substrate are formed, a dielectric layer is then formed on the substrate, a first contact hole and a second contact hole are formed in the dielectric layer, respectively on the gate structure and the source/drain region, and a third contact hole is formed in the dielectric layer, wherein the third contact hole overlaps the first contact hole and the second contact hole. | 03-13-2014 |
20140099760 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A method for fabricating a semiconductor device, wherein the method comprises steps as follows: A dummy gate with a poly-silicon gate electrode and a passive device having a poly-silicon element layer are firstly provided. A hard mask layer is then formed on the dummy gate and the passive device. Next, a first etching process is performed to remove a portion of the hard mask layer to expose a portion of the poly-silicon element layer. Subsequently, an inner layer dielectric (ILD) is formed on the dummy gate and the poly-silicon element layer, and the ILD is flattened by using the hard mask layer as a polishing stop layer. Thereafter, a second etching process is performed to remove the poly-silicon gate electrode, and a metal gate electrode is formed on the location where the poly-silicon gate electrode was initially disposed. | 04-10-2014 |
20140151763 | SEMICONDUCTOR STRUCTURE HAVING CONTACT PLUG AND METHOD OF MAKING THE SAME - The present invention provides a semiconductor structure including a substrate, a transistor, a first ILD layer, a second ILD layer, a first contact plug, second contact plug and a third contact plug. The transistor is disposed on the substrate and includes a gate and a source/drain region. The first ILD layer is disposed on the transistor. The first contact plug is disposed in the first ILD layer and a top surface of the first contact plug is higher than a top surface of the gate. The second ILD layer is disposed on the first ILD layer. The second contact plug is disposed in the second ILD layer and electrically connected to the first contact plug. The third contact plug is disposed in the first ILD layer and the second ILD layer and electrically connected to the gate. The present invention further provides a method of making the same. | 06-05-2014 |
20140154852 | METHOD FOR FORMING SEMICONDUCTOR STRUCTURE HAVING METAL CONNECTION - The present invention provides a method for forming a semiconductor structure having a metal connect. A substrate is provided, and a transistor and a first ILD layer are formed thereon. A first contact plug is formed in the first ILD layer to electrically connect the source/drain region. A second ILD layer and a third ILD layer are formed on the first ILD layer. A first opening above the gate and a second opening above the first contact plug are formed, wherein a depth of the first contact plug is deeper than that of the second opening. Next, the first opening and the second opening are deepened. Lastly, a metal layer is filled into the first opening and the second opening to respectively form a first metal connect and a second metal connect. | 06-05-2014 |
20140241027 | Static random access memory unit cell structure and static random access memory unit cell layout structure - A static random access memory unit cell layout structure is disclosed, in which a slot contact is disposed on one active area and another one across from the one. A static random access memory unit cell structure and a method of fabricating the same are also disclosed, in which, a slot contact is disposed on drains of a pull-up transistor and a pull-down transistor, and a metal-zero interconnect is disposed on the slot contact and a gate line of another pull-up transistor. Accordingly, there is not an intersection of vertical and horizontal metal-zero interconnects, and there is no place suffering from twice etching. Leakage junction due to stitch recess can be avoided. | 08-28-2014 |
20140315365 | METHOD OF FORMING SEMICONDUCTOR DEVICE - A method of forming a semiconductor device is provided. At least one gate structure including a dummy gate is formed on a substrate. A contact etch stop layer and a dielectric layer are formed to cover the gate structure. A portion of the contact etch stop layer and a portion of the dielectric layer are removed to expose the top of the gate structure. A dry etching process is performed to remove a portion of the dummy gate of the gate structure. A hydrogenation treatment is performed to the surface of the remaining dummy gate. A wet etching process is performed to remove the remaining dummy gate and thereby form a gate trench. | 10-23-2014 |
20140342553 | Method for Forming Semiconductor Structure Having Opening - According to one embodiment of the present invention, a method for forming a semiconductor structure having an opening is provided. First, a substrate is provided, wherein a first region and a second region are defined on the substrate and an overlapping area of the first region and the second region is defined as a third region. Then, a material layer is formed on the substrate. A first hard mask and a second hard mask are formed on the material layer. The first hard mask in the first region is removed to form a patterned first hard mask. The second hard mask in the third region is removed to form a patterned second hard mask. Lastly, the material layer is patterned by using the patterned second hard mask layer as a mask to form at least an opening in the third region only. | 11-20-2014 |
20140346575 | SEMICONDUCTOR DEVICE WITH SELF-ALIGNED CONTACT AND METHOD OF MANUFACTURING THE SAME - A semiconductor device with a self-aligned contact and a method of manufacturing the same, wherein the method comprises the step of forming a 1st dielectric layer on gate structures, form a self-aligned contact trench between two gate structures, forming an 2nd dielectric layer on the 1st dielectric layer and in the self-aligned contact trench; patterning the 2nd dielectric layer into a 1st portion on the 1st dielectric layer and a 2nd portion filling in the self-aligned contact trench, using the 2nd dielectric layer as a mask to etch the 1st dielectric layer, and forming a metal layer and a self-aligned contact simultaneously in the 1st dielectric layer and in the self-aligned contact trench. | 11-27-2014 |
20140349236 | Method for Forming Semiconductor Structure Having Opening - A method for forming a semiconductor structure having an opening is provided. First, a substrate is provided, wherein a first region and a second region are defined on the substrate and an overlapping area of the first region and the second region is defined as a third region. A pattern density of the first region is substantially greater than that of the second region. Then, a material layer is formed on the substrate. A first hard mask and a second hard mask are formed on the material layer. The first hard mask in the first region is removed to form a patterned first hard mask. The second hard mask in the third region is removed to form a patterned second hard mask. Lastly, the material layer is patterned by using the patterned second hard mask layer as a mask to form at least an opening in the third region only. | 11-27-2014 |
20140349476 | MANUFACTURING METHOD FOR FORMING A SEMICONDUCTOR STRUCTURE - The present invention provides a manufacturing method of a semiconductor device, at least containing the following steps: first, a substrate is provided, wherein a first dielectric layer is formed on the substrate, at least one metal gate is formed in the first dielectric layer and at least one source drain region (S/D region) is disposed on two sides of the metal gate, at least one first trench is then formed in the first dielectric layer, exposing parts of the S/D region. The manufacturing method for forming the first trench further includes performing a first photolithography process through a first photomask and performing a second photolithography process through a second photomask, and at least one second trench is formed in the first dielectric layer, exposing parts of the metal gate, and finally, a conductive layer is filled in each first trench and each second trench. | 11-27-2014 |
Chien Fan Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20080261390 | METHOD FOR FORMING BUMPS ON UNDER BUMP METALLURGY - A method for forming metal bumps is provided. A bonding pad is first formed on the active surface of a chip and then a passivation layer is formed on the active surface of the chip and exposes the bonding pad. An under bump metallurgy is formed on the active surface of the chip to overlay the bonding pad. A layer of patterned photoresist is formed on the under bump metallurgy and exposes the portion of the under bump metallurgy on the bonding pad. A layer of copper is plated on the exposed portion of the under bump metallurgy and then a layer of solder is printed on the copper layer. Afterward the solder is reflowed to form a spherical metal bump. Finally, the photoresist layer is removed and the exposed portion of the under bump metallurgy is etched out. | 10-23-2008 |
Chih-Heng Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110272842 | METHOD FOR MANUFACTURING AND SHAPING ENVIRONMENT-CONSERVATIVE PLANT FIBER AS SUBSTITUTE FOR WOOD, PAPER, AND PLASTICS - Disclosed is a method for manufacturing environment-conservative plant fiber as substitute for wood, paper, and plastics, which includes the steps of having agricultural surpluses and/or plant wastes ground and then mixed with starch, a plasticizer, and additives according to desired percentages by using a high speed mixing machine, deposited into a dual screw machine for pressurization and blending, pulverized by a pulverization machine, processed by an extrusion machine, subjected to temperature control by using a mold temperature controller, and then deposited in a cooling system for cooling and pelletization by airstreams to complete workable environment-conservative plant fiber particles. The particles can be used to substitute wood, paper, and plastics for making various products. The manufacturing process of the method releases completely no waste water and waste gas. The products made of the particles are completely recyclable for re-use. Environmental conservation and reduction of energy consumption can be realized. | 11-10-2011 |
Chih Hsing Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100007004 | WAFER AND SEMICONDUCTOR PACKAGE - A wafer defines a plurality of chips arranged in array manner. Each chip includes at least one aluminum pad and a middle material. The middle material covers the aluminum pad and is mounted on the aluminum pad. | 01-14-2010 |
Chih-Li Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110157684 | UV Light Generator - A UV light generator for receiving a baseband light beam from a baseband light source is provided. The UV light generator includes a first lens unit, a second lens unit, a first frequency doubling crystal and a second frequency doubling crystal. The baseband light beam from the baseband light source passes through the first lens unit. The first lens unit and the second lens unit control a minimum of baseband light spot position and a minimum of second harmonic light spot position. The first frequency doubling crystal is disposed between the first lens unit and the second lens unit, and located on the minimum of baseband light spot position. The second frequency doubling crystal is disposed between the first lens unit and the second lens unit, and located on the minimum of second harmonic light spot position. | 06-30-2011 |
Ching-Chang Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110164226 | SYSTEM AND METHOD FOR PROJECTION CORRECTION - A method for projection correction includes following steps. An original image is projected as a projection image on an object. A projection-zone image including the projection image is captured from the object. A projection image outline corresponding to the projection image is obtained from the projection-zone image. An operation is performed on the projection image outline to obtain a horizontal inclination and a vertical inclination. The original image is pre-warped according to the horizontal inclination and the vertical inclination to obtain a corrected image, and the corrected image is projected on the object. | 07-07-2011 |
20150033566 | MECHANICAL ENCODER - A mechanical encoder including an assembly, a flexible element, and a signal sensing module is disclosed. The assembly has a plurality of poking/stiring structure that provides poking/stirring function. The flexible element includes a first piezoelectric layer and a second piezoelectric layer. The first piezoelectric layer and the second piezoelectric layer are stacked on each other via an attach material. The flexible element is set up so that the poking/stiring structures stir/poke a first end of the flexible element, so as to output an electrical signal responsive to deformation of the flexible element. The signal sensing module receives the electrical signal to generate a position signal and a direction signal corresponding to the movement of the poking structures. | 02-05-2015 |
Chiou-Hung Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090052872 | MACHINE-IMPLEMENTED METHOD FOR ESTABLISHING A PLAYBACK INTERFACE, AND COMPUTER-READABLE RECORDING MEDIUM FOR IMPLEMENTING THE SAME - A machine-implemented method for establishing a playback interface for a target disc when storing contents of a plurality of original discs into the target disc includes: (A) analyzing each of the original discs for relevant disc information, and recording the disc information of the original discs in a disc information list; (B) analyzing each sequence of each of the original discs for relevant sequence information, and recording the sequence information of the original discs in a playback sequence list; and (C) establishing a playback interface for the target disc according to the disc information list and the playback sequence list. | 02-26-2009 |
20130315561 | MACHINE-IMPLEMENTED METHOD FOR ESTABLISHING A PLAYBACK INTERFACE, AND COMPUTER-READABLE RECORDING MEDIUM FOR IMPLEMENTING THE SAME - A machine-implemented method for establishing a playback interface for a target disc when storing contents of a plurality of original discs into the target disc includes: (A) analyzing each of the original discs for relevant disc information, and recording the disc information of the original discs in a disc information list; (B) analyzing each sequence of each of the original discs for relevant sequence information, and recording the sequence information of the original discs in a playback sequence list; and (C) establishing a playback interface for the target disc according to the disc information list and the playback sequence list. | 11-28-2013 |
Chris Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090267767 | Radio Frequency Identification Tag for a Mobile Phone - A radio frequency identification tag for a mobile phone comprises a combination layer, a radio frequency identification layer and an anti-slip layer. By such arrangements, the radio frequency identification tag can provide the mobile phone with a wireless identification function. | 10-29-2009 |
Chuang-I Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110180788 | COMPOUND SEMICONDUCTOR THIN FILM WITH ANTI-FOG FUNCTION AND THE MANUFACTURING METHOD THEREOF - The disclosure is a compound semiconductor thin film with anti-fog function and the manufacturing method thereof. The thin film at least includes a dense semiconductor thin film combined with a porous-needle semiconductor thin film. The disclosed compound semiconductor thin film decreases the contact angle of water and achieves hydrophilic and anti-fog properties for a long lifetime. | 07-28-2011 |
Chun-Cheng Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110210666 | DRIVING METHOD OF ROAD-ADAPTIVE VEHICLE HEADLIGHT - In a driving method of a road-adaptive vehicle headlight, after a vehicle is started, the headlight of the vehicle is first adjusted to a basic illumination angle. Then, a vehicle driving environment signal, a vehicle speed signal and vehicle body position signals are obtained. Thereafter, according to the aforesaid different vehicle signals, a power source is controlled to change the illumination angle of the headlight and/or LED light sources provided on a base plate of the headlight are differently controlled to turn on or off. Thus, the vehicle headlight can quickly and flexibly produce different headlight beam patterns and wide headlight beam illumination area to ensure good road visibility and increased safety in driving. | 09-01-2011 |
20110210667 | ROAD-ADAPTIVE VEHICLE HEADLIGHT SYSTEM - A road-adaptive vehicle headlight system includes at least one headlight device, which includes a curved base plate divided into an inner-side module, an outer-side module, and a middle module located between the inner-side and outer-side modules, and the middle module is further divided from top to bottom into an upper-side module, a basic module, and a lower-side module; a plurality of LED light sources differently distributed in the above modules; a power source assembly being connected to and driving the base plate to turn in different directions; and a controller electrically connected to the LED light sources and the power source assembly. With these arrangements, the headlight device of a car can quickly produce different light beam patterns, increase the road visibility and the illumination areas of the headlight devices, and accordingly, ensure high safety in driving. | 09-01-2011 |
20110211359 | ROAD-ADAPTIVE HEADLIGHT FOR MOTORCYCLES - A road-adaptive headlight for motorcycles includes a curved base plate having a left curved portion divided from top to bottom into a left-banking lighting zone and a left-crossing lighting zone, a right curved portion divided from top to bottom into a right-banking lighting zone and a right-crossing lighting zone, and a middle curved portion divided from top to bottom into a high-speed lighting zone, a main lighting zone and a horizontal lighting zone. LED light sources are provided in each of the above-mentioned zones. A power source assembly drives the base plate to incline upward or downward and to turn clockwise or counterclockwise. A controller is electrically connected to the LED light sources and the power source assembly for controlling the operation thereof. Thus, different headlight beam patterns can be quickly and flexibly produced under control to ensure increased road visibility and motorcycle riding safety. | 09-01-2011 |
Chung-Hwan Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090170200 | STEM CELL MEDIUM - A medium for culturing stem cell. The stem cell medium of the invention comprises a fetal bovine serum, one or plurality of amino acid, one or plurality of vitamin, one or plurality of growth factor, one or plurality of inorganic salt, one or plurality of antioxidant, wherein the stem cell medium has a calcium concentration of less than about 1.8 mM, and the fetal bovine serum is present in an amount of less than about 10% by volume of the medium. The stem cell medium of the invention can maintain the proliferative and self-renewal capacity of the stem cells and keep stem cells at a steady stage. | 07-02-2009 |
Chung-Mei Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100270714 | Apparatus for observing interior of a blast furnace system - An apparatus for observing the interior of a blast furnace system includes a visible light guiding unit which defines a light path along a viewing axis that is radial to an upright axis of a furnace wall body of a blast furnace and which is adapted to be secured to a furnace blowpipe to permit the light path to be in visual communication with a tuyere, a beam splitter which is disposed in an accommodation space in the tubular mount to split a beam of light propagating along the light path into a horizontal component so that the operator can observe furnace condition through a peeping hole, and a vertical component, and a video camera system which includes an elongate casing connected to the tubular mount, and a video camera disposed in a cooling chamber of the casing to capture a light image from the vertical component of the light beam. | 10-28-2010 |
Guan-Ming Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20080280231 | Bounce drive actuator and micromotor - Provided is the design and fabrication of the novel bounce drive actuator (BDA) for the development of a new-type micro rotary motor. Although the scratch drive actuator (SDA) micro motor has been developed more than one decade, such device has limited commercial applications due to its shorter lifetime, high power consumption and sudden reverse rotation. In contrast, present invention proposes an innovative BDA micro rotary motor with different actuating mechanism and improved performance. Several significant investigations shown in this research present that the length of the SDA-plate is longer than 75 μm and the plate length of the BDA is less than 75 μm. Under the same driving power and frequency with SDA-based micro motor, the BDA-based micro rotary motor exhibited a consistent “reverse” rotation and a higher speed. BDA has higher flexural rigidity due to its shorter length of plate; thus, the contact area of the bending BDA-plate and the insulator substrate will substantially be reduced even under the same applied voltage as the priming value of SDA-plate. Furthermore, a novel rib and flange structure design for the improvement of lifetime (>100 hrs) and rotational speed (>30 rpm) of BDA micro motor was also demonstrated in this invention. | 11-13-2008 |
Han-Hsiang Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100210112 | METHOD FOR FABRICATING A SEMICONDUCTOR DEVICE HAVING A LANTHANUM-FAMILY-BASED OXIDE LAYER - Methods for fabricating a semiconductor device having a lanthanum-family-based oxide layer are described. A gate stack having a lanthanum-family-based oxide layer is provided above a substrate. At least a portion of the lanthanum-family-based oxide layer is modified to form a lanthanum-family-based halide portion. The lanthanum-family-based halide portion is removed with a water vapor treatment. | 08-19-2010 |
Hao-Yu Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110212579 | Fully Depleted SOI Multiple Threshold Voltage Application - An integrated circuit comprises a substrate and a buried dielectric formed in the substrate. The buried dielectric has a first thickness in a first region, a second buried dielectric thickness in a second region, and a step between the first and second regions. A semiconductor layer overlies the buried dielectric. | 09-01-2011 |
Hong-Ching Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110194575 | CLOCK GENERATOR, PULSE GENERATOR UTILIZING THE CLOCK GENERATOR, AND METHODS THEREOF - A clock generator for generating a target clock signal, comprising: a control circuit, receiving a reference clock signal, and for generating a clock enable signal and a delay selecting signal according to the reference clock signal; a delay module, coupled to the control circuit, for delaying the reference clock signal according to the delay selecting signal to generate a delayed reference clock signal; and a clock gating unit, coupled to the delay module and the control circuit, for receiving the delayed reference clock signal and the clock enable signal, and for passing the delayed reference clock signal according to the clock enable signal, to generate the target clock signal. | 08-11-2011 |
20120112812 | CLOCK GENERATOR, PULSE GENERATOR UTILIZING THE CLOCK GENERATOR, AND METHODS THEREOF - Disclosed is a clock generator for generating a target clock signal, which includes: a control circuit, receiving a reference clock signal, and for generating a clock enable signal and a delay selecting signal according to the reference clock signal; a delay module, coupled to the control circuit, for delaying the reference clock signal according to the delay selecting signal to generate a delayed reference clock signal; and a clock gating unit, coupled to the delay module and the control circuit, for receiving the delayed reference clock signal and the clock enable signal, and for passing the delayed reference clock signal according to the clock enable signal, to generate the target clock signal. | 05-10-2012 |
Ho-Ruei Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110031128 | Method for measuring an iodine adsorption number of carbon black, electrolytic cell, and kit for measuring an iodine adsorption number of carbon black - A method for measuring an iodine adsorption number of carbon black includes: (a) electrochemically reducing an unknown amount of iodine adsorbed by a predetermined amount of a carbon black sample; (b) measuring the electrical charge used for reducing the unknown amount of the iodine adsorbed by the carbon black sample; and (c) obtaining the iodine adsorption number from the measured electrical charge. An electrolytic cell and a kit for measuring an iodine adsorption number of carbon black are also disclosed. | 02-10-2011 |
Hsien-Hung Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110130983 | BATTERY MONITORING SYSTEM - A battery monitoring system includes a detector and a supervisor connected to the detector. Wherein, pluralities of sensors of the detector respectively disposed on battery cells of the battery for at least correspondingly detecting voltage and temperature signals of the battery cells. Subsequently, the aforementioned signals are transmitted to a central processor for a separate conversion into accessible and distinguishable data applied to compare with a predetermined threshold. Finally, the compared results would be shown on the supervisor for users to realize the physical state of each battery. The central processor friendly reacts to the compared result under the irregular state and emits a warning signal to the supervisor, for which to promptly carry out a warning action. | 06-02-2011 |
Hsing-Lung Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110254115 | INSERTED REFLECTIVE SHIELD TO IMPROVE QUANTUM EFFICIENCY OF IMAGE SENSORS - The structures of reflective shields and methods of making such structures described enable reflection of light that has not be absorbed by photodiodes in image sensor devices and increase quantum efficiency of the photodiodes. Such structures can be applied (or used) for any image sensors to improve image quality. Such structures are particular useful for image sensors with smaller pixel sizes and for long-wavelength light (or rays), whose absorption length (or depth) could be insufficient, especially for backside illumination (BSI) devices. The reflective shields could double, or more than double, the absorption depth for light passing through the image sensors and getting reflected back to the photodiodes. Concave-shaped reflective shields have the additional advantage of directing reflected light toward the image sensors. | 10-20-2011 |
20140106498 | METHOD OF MAKING A REFLECTIVE SHIELD - A method of creating a reflective shield for an image sensor device includes depositing a first dielectric layer on a substrate, wherein a photodiode is on the substrate. The method further includes removing surface topography by performing chemical mechanical polishing (CMP) on the first dielectric layer. The method further includes patterning the substrate to define an area on a surface of the first dielectric layer, wherein the area is directly above the photodiode. The method further includes depositing a layer of a material with high reflectivity on the substrate, wherein the material fills the area on the surface of the first dielectric layer. The method further includes removing excess material with high reflectivity, wherein the reflective shield is formed and is embedded in the first dielectric layer. The method further includes depositing a second dielectric material on the substrate, wherein the second dielectric material covers the reflective shield. | 04-17-2014 |
Huey-Ru Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100105538 | Microwave Dielectric Ceramics And Method For Manufacturing The Same - A method for manufacturing microwave dielectric ceramics has the steps of: mixing multiple A-metal compounds and sintering multiple A-metal compounds between 1350˜1450° C. for 2˜4 hr to make a first component Ba | 04-29-2010 |
Hung-Chao Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090165265 | WATERPROOF STRUCTURE FOR ZIPPERS - A waterproof structure for zippers includes a waterproof layer formed on one side surface of two tapes of a half-finished zipper. The waterproof layer is formed by coating a layer of bonding glue on the one side surface of the two tapes and then the bonding glue has its upper layer stuck with an electroplating membrane. By so designing, external water can be prevented from seeping in the two tapes of the zipper, thus elevating waterproof effect of a zipper. | 07-02-2009 |
Hung-Nan Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110241026 | LIGHT-EMITTING DIODE CHIP AND PACKAGE STRUCTURE THEREOF - A light-emitting diode chip includes a first electrode and a metal composite layer. The metal composite layer is disposed on the first electrode and has a nickel layer. Since the metal composite layer is disposed on the first electrode, the yield of the wedge bonding can be increased, and the chip damage can be avoided. | 10-06-2011 |
Jenn-Shing Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110085987 | FOLIC ACID-MEDIATED MAGNETIC NANOPARTICLE CLUSTERS FOR COMBINED TARGETING, DIAGNOSIS, AND THERAPY APPLICATIONS - The preparation method of the magnetic nanoparticle (MNP) includes steps of: (a) reacting folic acid (FA) with Pluronic F127 (PF127) to form FA-PF127; (b) reacting poly(acrylic acid) (PAA) with FeCl3 to form PAA-bound iron oxide (PAAIO); and (c) reacting FA-PF127 with PAAIO via N-(3-dimethylaminopropyl)-3-ethylcarbodiimide hydrochloride (EDAC) mediation to form FA-PF127-PAAIO. FA-PF127-PAAIO is nontoxic and shows the superparamagnetic property at room temperature. The Nile red-loaded FA-PF127-PAAIO can be performed as the chemotherapy agent and the contrast agent on magnetic resonance (MR) imaging. | 04-14-2011 |
Jhin-Nan Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090096316 | Pole piece structure of stator with radial winding - The present invention relates to a pole piece structure of stator with radial winding, which employs an optimum ratio α to define the form of the pole piece, increase the overlap region of inductance and torque and lower the cogging torque of a motor, so as to strengthen the self-starting capability of the motor and minimize torque ripple to enhance the overall performance of the motor. The pole piece structure includes a hub having a hole at the center, and a plurality of poles integrally formed on the periphery of the hub, extended to radiate in a radial direction, and having a pole shoe expanded from an end of each pole in a tangential direction, wherein the angle of a single pole shoe is divided by a pitch angle of two adjacent poles to obtain a ratio in a range of 0.86˜0.95. | 04-16-2009 |
Ji-Da Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110102543 | PIXEL DATA TRANSFORMATION METHOD AND APPARATUS FOR THREE DIMENSIONAL DISPLAY - A pixel data transformation method that can improve the display quality of a text image in a multi-view autostereoscopic display apparatus is provided. The pixel data transformation method includes following steps. The color values of a first and a second original pixels adjacent to each other are obtained. The first and the second original pixels are replaced respectively with a first and a second pixel arrays according to the color value of the first and the second original pixels. Edge pixels on adjoining sides of the first and the second pixel arrays are combined, wherein a color value of each of the combined pixels is a sum of color values of the two corresponding edge pixels on adjoining sides of the first and the second pixel arrays. | 05-05-2011 |
20120086777 | SYSTEMS AND METHODS FOR DETECTING AND DISPLAYING THREE-DIMENSIONAL VIDEOS - A video player system includes a three-dimensional field detector and controller module for detecting a three-dimensional field of a video data to generate at least one of a detection signal and a control signal based on the three-dimensional field detected. The video data includes data for at least one image and the three-dimensional field within the image. The system also includes a display recomposition module coupled with the three-dimensional field detector and controller module, and the display recomposition module generates a recomposed three-dimensional field within the at least one image based on the detection signal and at least one of a plurality of display parameters associated with a display panel. The display panel is coupled with the three-dimensional field detector and controller module and the display recomposition module and displays the at least one image with the recomposed three-dimensional field based on at least one of the control signal and the display parameters. | 04-12-2012 |
Ji-Jan Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090088996 | Jitter measuring system and method - The present invention relates to a jitter measuring system, comprising: a delay circuit for receiving a clock signal and delaying the clock signal to generate a delay signal; a jitter amplifier for receiving the clock signal and delay signal to generate a first signal and a second signal; and a converter for converting a phase different between the first signal and the second signal into a relevant digital code; wherein the phase difference between the first signal and the second signal is an amplification of jitter. | 04-02-2009 |
20100088655 | YIELD EVALUATING APPARATUS AND METHOD THEREOF - A yield evaluating apparatus and a method thereof are provided. The yield evaluating apparatus includes a spatial correlation module. The spatial correlation module receives at least one process-related data and a plurality of circuit layouts and obtains a correlation coefficient between unit elements in the circuit layouts according to the process-related data. The spatial correlation module calculates a spatial correlation between elements in each of the circuit layouts according to the correlation coefficient and selects one of the circuit layouts according to the spatial correlations. | 04-08-2010 |
20120146693 | APPARATUS FOR CLOCK SKEW COMPENSATION - An apparatus for clock skew compensation is provided. The apparatus includes a first delay locked loop (DLL) module disposed in a first die and a second DLL module disposed in a second die. A first input terminal of the first DLL module receives a reference clock. A first input terminal of the second DLL module is electrically connected to an output terminal of the first DLL module. An output terminal of the second DLL module is electrically connected to a second input terminal of the first DLL module. | 06-14-2012 |
20130290914 | Methods and Apparatus for Floorplanning and Routing Co-Design - Methods and apparatus of performing floorplanning and routing for function blocks within a die and among multiple die are disclosed. Multiple die together with function blocks within each die may be represented by a flexible hierarchical (FH) tree. An initial floorplan for multiple die may be generated and hot spots between die or among function blocks within a die may be identified. Spacer blocks may be inserted between die, and block inflation may be performed, to remove hot spots. More perturbation of the block positions can be performed on the FH tree to rearrange the blocks and die. After the multiple die floorplanning, a plurality of micro bumps may be mapped to a plurality of pins of blocks of the plurality of die, placement and routing may be performed for the plurality of blocks within each die and connections for the plurality of dies. | 10-31-2013 |
20130326463 | Method to Determine Optimal Micro-Bump-Probe Pad Pairing for Efficient PGD Testing in Interposer Designs - The present disclosure relates to a method of routing probe pads to micro-bumps of an interposer. An interposer is provided having target micro-bumps and probe pads. The probe pads are initially unassigned. Target micro-bump locations and probe pad locations are obtained. Possible route assignments from the probe pads to the target micro-bumps are obtained. Costs are developed for the possible route assignments at least partially according to the target micro-bump locations and the probe pad locations. Final assignments are selected from the possible assignments according to the costs. | 12-05-2013 |
20140049281 | Diagnosis Framework to Shorten Yield Learning Cycles of Advanced Processes - The present disclosure relates to a diagnosis framework to shorten yield learning cycles of technology node manufacturing processes from the high defect density stage to technology maturity. A plurality of defect under test (DUT) structures are designed to capture potential manufacturing issues associated with defect formation. A test structure is formed by arranging the DUT structures within a DUT carrier unit, which has been yield-hardened though heuristic yield analysis such that a defect density of the DUT carrier unit is essentially zero. Possible outcomes of an application of test patterns and various failure scenarios associated with defects formed within the DUT structures within the DUT carrier unit are simulated and stored in a look-up table (LUT). The LUT may then be referenced to determine a location of a defect within the test structure without the need for iterative analysis to correctly select defect candidates for physical failure analysis (PFA). | 02-20-2014 |
Jiunn Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110233749 | SEMICONDUCTOR DEVICE PACKAGE AND METHOD OF FABRICATING THE SAME - A semiconductor device package and a method of fabricating the same are disclosed. The semiconductor device package includes a substrate, a first chip, a jumper chip, a plurality of first bonding wires and a plurality of second bonding wires. The substrate has a plurality of contact pads. The first chip is disposed and electrically connected to the substrate via the first bonding wires. The jumper chip is disposed on the first chip and has a plurality of metal pads. Each of the metal pads is electrically connected to two contact pads of the substrate via two second bonding wires, respectively. | 09-29-2011 |
Jr-Shiun Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110274556 | POSITIONING STRUCTURE FOR STATOR ASSEMBLY OF COOLING FAN - A positioning structure for a stator assembly of a cooling fan has a fan base and a stator assembly. The fan base has a central hub projected thereon for connecting to one end of an axial tube is received in the central hub. The fan base is further formed with a plurality of first engagement portions at a plurality of positions away from a hub base of the central hub. The stator assembly has an axial hole sleeved on the axial tube and a plurality of second engagement portions which can be engaged with the first engagement portions. Thus, the stator assembly can be rapidly and stably installed on the fan base. | 11-10-2011 |
Jui-Wen Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110231850 | BLOCK-BASED TRANSMISSION SCHEDULING METHODS AND SYSTEMS - Block-based transmission scheduling methods and systems are provided. First, a plurality of packets corresponding to at least one data flow is received. The packets of the data flow are accumulated to form a data block. Then, the data block of the data flow is scheduled and transmitted according to a transmission scheduling algorithm based on the unit of block. In some embodiments, when the length of the accumulated data block equals to or is greater than a predefined or dynamically calculated block length threshold, the data block is scheduled and transmitted according to the transmission scheduling algorithm. In some embodiments, when current time is equal to a specific time point derived from a dynamically calculated or a fixed time duration, the data block is scheduled and transmitted according to the transmission scheduling algorithm. | 09-22-2011 |
20130163568 | METHOD AND SYSTEM FOR RESOURCE ALLOCATION IN DISTRIBUTED TIME-DIVISION MULTIPLEXING SYSTEMS - In one exemplary embodiment, a system for resource allocation in a distributed time-division multiplexing (TDM) system comprises a plurality of users with each user having a corresponding weight and taking turns to use the resources of the distributed TDM system. The each user repeats the execution of obtaining a resource usage right, reading a first message of a user having an active weight sum and a system benefit level; computing a resource usage quantity of the user, computing a resource residual quantity of the user, updating the active weight sum, and storing an individual benefit basis of the user; dividing the resource residual quantity by an updated value of the active weight sum and accumulating a divided result to the system benefit level; and transferring a second message having the updated value of the active weight sum and an accumulated value of the system benefit level to a next user obtaining the resource usage right. | 06-27-2013 |
Ke-Ting Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110116718 | SYSTEM AND METHOD FOR ESTABLISHING ASSOCIATION FOR A PLURALITY OF IMAGES AND RECORDING MEDIUM THEREOF - A system and a method for establishing an association for a plurality of images and a recording medium thereof are provided. The system includes a storage module and an association establishment module. The storage module is used for storing a plurality of images. Any two images having at least one common content form an associated image set. Each associated image set has an associated position information and an associated angle information, and each image in the same associated image set respectively has a photographing point with respect to a common content therein. The associated position information and the associated angle information are relative positions of and an included angle between photographing angles of the two photographing points with respect to the common content. The association establishment module establishes an association between the images according to the associated image sets and the associated position information and the associated angle information thereof. | 05-19-2011 |
Koan-Ting Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090303183 | PALM SUPPORT STRUCTURE OF MOUSE - The present invention discloses a palm support structure of a mouse, and the palm support structure includes an ergonomic auxiliary body installed on a lateral edge or a rear position of a mouse body and combined with the mouse body. When a user operates the mouse, the user's palm is attached onto a surface of the mouse body surface, and the user's two outer fingers of the palm or the user's wrist are supported by the auxiliary body, such that the fingers or the wrist will not touch a tabletop directly to provide a comfortable operation of the mouse for users. | 12-10-2009 |
Ko-Chun Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20080246353 | VOICE COIL MOTOR DEVICE FOR POSITIONING - A voice coil motor device for positioning is disclosed. The voice coil motor device for positioning includes a fixed element, a moving element and a connector. The moving element is movably disposed on the fixed element. One end of the connector is connected with the fixed element and the other end of the connector is connected with the moving element. A piezoelectric element is disposed on the connector or deposed between the moving element and the fixed element. The piezoelectric element can be deformed with the movement of the moving element to generate a displacement signal of a moving element. The positioning of the voice coil motor can be achieved precisely basing on the judgment on the displacement signal of the moving element generated with the movement of the moving element by the piezoelectric element. | 10-09-2008 |
20100243230 | HEAT-DISSIPATING DEVICE INCLUDING A PLATING METAL LAYER - A heat-dissipating device includes: a planar body made of a graphite laminate and extending along an x-y plane of the graphite laminate; and a plating metal layer formed on the planar body. The heat-dissipating device further includes a metal panel. The planar body is connected substantially perpendicularly to the metal panel. A method of making the heat-dissipating device is also disclosed. | 09-30-2010 |
20140049984 | ANISOTROPIC HEAT DISSIPATION IN A BACKLIGHT UNIT - A backlight unit with a light source, a light guiding plate, a reflective film and an anisotropic heat dissipation layer is disclosed. At least some embodiments provide a display panel including the backlight unit and methods for reducing the temperature of a backlight unit by the anisotropic heat dissipation layer. | 02-20-2014 |
20140049985 | ANISOTROPIC HEAT DISSIPATION IN A BACKLIGHT UNIT - A backlight unit with a light source, a light guiding plate, a reflective film and an anisotropic heat dissipation layer is disclosed. At least some embodiments provide a display panel including the backlight unit and methods for reducing the temperature of a backlight unit by the anisotropic heat dissipation layer. | 02-20-2014 |
20140185323 | ANISOTROPIC HEAT DISSIPATION IN A BACKLIGHT UNIT - A backlight unit with a light source, a light guiding plate, a reflective film and an anisotropic heat dissipation layer is disclosed. At least some embodiments provide a display panel including the backlight unit and methods for reducing the temperature of a backlight unit by the anisotropic heat dissipation layer. | 07-03-2014 |
20140203975 | APPARATUS FOR A CASE FOR AN ELECTRONIC DEVICE - An apparatus for a case for an electronic device containing one or more antennas is disclosed. The apparatus for the electronic device comprises a metal layer, a dielectric layer and a masking layer, wherein the masking layer has one or more channels, and some of the channels have antenna therein. The antenna extends from one wall to another wall of the case. | 07-24-2014 |
20150043162 | CENTRAL PROCESSING UNIT CASING - An electromagnetic interference (EMI) shield for reducing the electromagnetic interference and substantially uniformly distribute heat is disclosed. The EMI shield comprises a first layer configured to shield EMI and a second layer configured to dissipate heat. The EMI shield further comprises an interface. Some embodiments also provide methods for shielding EMI and uniformly dissipate heat of an electronic component. | 02-12-2015 |
Kun-Hsien Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110163408 | Schottky diode with low reverse leakage current and low forward voltage drop - A Schottky diode structure with low reverse leakage current and low forward voltage drop has a first conductive material semiconductor substrate combined with a metal layer. An oxide layer is formed around the edge of the combined conductive material semiconductor substrate and the metal layer. A plurality of dot-shaped or line-shaped second conductive material regions are formed on the surface of the first conductive material semiconductor substrate connecting to the metal layer. The second conductive material regions form depletion regions in the first conductive material semiconductor substrate. The depletion regions can reduce the leakage current area of the Schottky diode, thereby reducing the reverse leakage current and the forward voltage drop. When the first conductive material is a P-type semiconductor, the second conductive material is an N-type semiconductor. When the first conductive material is an N-type semiconductor, the second conductive material is a P-type semiconductor. | 07-07-2011 |
20120205770 | SCHOTTKY DIODE WITH HIGH ANTISTATIC CAPABILITY - A Schottky diode with high antistatic capability has an N− type doped drift layer formed on an N+ type doped layer. The N− type doped drift layer has a surface formed with a protection ring. Inside the protection ring is a P-type doped area. The N− type doped drift layer surface is further formed with an oxide layer and a metal layer. The contact region between the metal layer and the N− type doped drift layer and the P-type doped area forms a Schottky contact. The P-type doped area has a low-concentration lower layer and a high-concentration upper layer, so that the surface ion concentration is high in the P-type doped area. The Schottky diode thus has such advantages of lowered forward voltage drop and high antistatic capability. | 08-16-2012 |
20120205771 | SCHOTTKY DIODE WITH LOW FORWARD VOLTAGE DROP - A Schottky diode with a low forward voltage drop has an N− type doped drift layer formed on an N+ type doped layer. The N− type doped drift layer has a first surface with a protection ring inside which is a P-type doped area. The N− type doped drift layer surface is further formed with an oxide layer and a metal layer. The contact region between the metal layer and the N− type doped drift layer and the P-type doped area forms a Schottky barrier. The height of the Schottky barrier is lower than the surface of the N− type doped drift layer, thereby reducing the thickness of the N− type doped drift layer under the Schottky barrier. This configuration reduces the forward voltage drop of the Schottky barrier. | 08-16-2012 |
20120205773 | SCHOTTKY DIODE WITH LOWERED FORWARD VOLTAGE DROP - A Schottky diode with a lowered forward voltage drop has an N− type doped drift layer formed on an N+ type doped layer. The N− type doped drift layer has a surface formed with a protection ring inside which is a P-type doped layer. The surface of the N− type doped drift layer is further formed with an oxide layer and a metal layer. The contact region between the metal layer and the N− type doped drift layer within the P-type doped layer forms a Schottky barrier. An upward extending N type doped layer is formed on the N+ type doped layer and under the Schottky barrier to reduce the thickness of the N− type doped drift layer under the Schottky barrier. This lowers the forward voltage drop of the Schottky diode. | 08-16-2012 |
Kyle Da-Kai Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110043218 | ANALYSIS METHOD AND ANALYSIS SYSTEM - The invention discloses an analysis method and an analysis system for analyzing an integrated circuit comprising a plurality of electronic components. The analysis method comprises steps of: performing a measurement or a simulation on an integrated circuit to obtain a time-domain waveform of an output signal of the integrated circuit; applying an time-frequency analysis to the time-domain waveform to obtain one or more frequency components; selecting a target frequency component from the one or more frequency components and identifying a point-in-time when an amplitude of the target frequency component changes; from the plurality of electronic components of the integrated circuit, identifying one or more target electronic components under an operating state at the point-in-time. The analysis system comprises a processing module and a memory module. The processing module analyzes the time-frequency information of an output signal of the integrated circuit according to the logical rules stored in the memory module. | 02-24-2011 |
Li-Da Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110296343 | METHOD FOR SWAPPING DISPLAY CONTENTS BETWEEN MULTIPLE SCREENS - A method for swapping display contents between a first and a second screen. The first screen is a primary screen. A window is displayed on one of the first and the second screen. The method includes: calculating a window movement distance according to a relative position between the first and the second screen; performing swapping to set the second screen as the primary screen; obtaining a coordinate of the window after swapping; obtaining a first coordinate by adding the window movement distance to the coordinate of the window after swapping if the window is located in the first screen before swapping; obtaining a second coordinate by subtracting the window movement distance from the coordinate of the window after swapping if the window is located in the second screen before swapping; moving the window from one of the first and the second screen to the other. | 12-01-2011 |
20140332129 | RUN-FLAT TIRE - A run-flat tire, comprising a rim, a tire skin, and one or more elastomers, wherein the elastomer(s) is installed in a holding space within the tire skin, wherein the number of elastomers depending on the inner diameter of the tire skin and the inner width; while the external dimension and shape of the elastomer depends on the inner width of the tire skin and the tire width and shape of the tire skin, wherein the diameter of the elastomer shall be close to or no more than the inner diameter top of the tire skin and the inner diameter bottom of rim center. | 11-13-2014 |
Li-De Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20140027799 | MULTIPLE-CHIP EXCITATION SYSTEMS FOR WHITE LIGHT EMITTING DIODES (LEDs) - Embodiments of the present invention are directed toward white light illumination systems (so called “white LEDs”) that comprise a multi-chip excitation source and a phosphor package. In a two-chip source, the two LEDs may be UV-emitting and blue emitting, or blue-emitting and green-emitting. The phosphor package is configured to emit photoluminescence in wavelengths ranging from about 440 nm to about 700 nm upon co-excitation from the first and second radiation sources. The photoluminescence emitted by the phosphors is at least 40 percent of the total power in the white light illumination, and the portion of the total power in the white light illumination contributed by the first and second radiation sources (LEDs) is less than about 60 percent. This ratio can vary in alternative embodiments, and includes 50/50, 60/40, 70/30, and 80/20, respectively. The white light illumination emitted by the system has in one embodiment a color rendering index (CRI) greater than about 90. | 01-30-2014 |
Long-Jeng Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100248084 | FLEXIBLE CURRENT COLLECTING FIBER BUNCH AND FUEL CELL STRUCTURE USING THE SAME - A flexible current collecting fiber bunch comprises a plurality of current collecting fiber conductors and at least one electrical wire. There is an interval between each two adjacent current collecting fiber conductors. The electrical wire used to cascades the current collecting fiber conductors. The flexible current collecting fiber bunch may replace the graphite or metal bipolar commonly plate used in the fuel cell at lowers the pressure needed for a good contact and adds flexibility in the stack design. | 09-30-2010 |
20100255408 | FUEL CELL STRUCTURE - A fuel cell structure mainly comprises a frame and a membrane electrode assembly. The frame has an inside wall, and the membrane electrode assembly comprises a first electrode, a second electrode, and an electrolyte membrane disposed between the first electrode and the second electrode. The electrolyte membrane having an electrode joint portion and an adhesive portion. The first electrode and the second electrode are laid on two opposite sides of the electrode joint portion separately. The adhesive portion is attached onto the inside wall. | 10-07-2010 |
20110143264 | STRUCTURE AND MANUFACTURING METHOD FOR FUEL CELL ELECTRODE - A structure of fuel cell electrode comprises a diffusion layer having a surface, a conductive particle layer formed on the surface of the diffusion layer and a catalyst layer. The conductive particle layer has a plurality of conductive particles and a concavo-convex surface being composed of the conductive particles. The catalyst layer is formed on the concavo-convex surface of the conductive particle layer. | 06-16-2011 |
Lun-Chi Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090141171 | DISPLAY SYSTEM AND DISPLAY METHOD CAPABLE OF RECEIVING MULTIPLE SOURCES AND WITH SYNCHRONOUS MULTIPLE OUTPUTS - The synchronous briefing or visual playback in different areas and capability of receiving multiple image sources are achieved by connecting various briefing subsystems in different areas via the Internet. One or more image sources are configured in each briefing subsystem and each connected to a server of the subsystem via physical or wireless network. The server of each briefing subsystem transmits briefing or image data to a playback device for outputting purpose via physical or wireless network. The internet-connected servers in all the briefing subsystems are capable of transmitting briefing or image data to one another via the Internet such that briefing or image outputting in one subsystem can be synchronously performed in other subsystems. | 06-04-2009 |
20090167867 | CAMERA CONTROL SYSTEM CAPABLE OF POSITIONING AND TRACKING OBJECT IN SPACE AND METHOD THEREOF - A space position device capable of generating position signals according to its position in space is used in the camera control system for tracking an object. The space position device generates and transmits its position signals to a control unit every predetermined time interval. The control unit then generates control command for controlling a camera to rotate upward/downward, leftward/rightward, zoom in or zoom out according to the generated position signals such that the camera adjusts its focus on the space position device for tracking an object automatically. | 07-02-2009 |
Lun-Hung Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100036644 | METHOD FOR SELECTIVELY AMENDING LAYOUT PATTERNS - A method to selectively amend a layout pattern is disclosed. First, a layout pattern including at least a first group and a second group is provided, wherein each one of the first group and the second group respectively includes multiple members. Second, a simulation procedure and an amendment procedure are respectively performed on all the members of the first group and the second group to obtain an amended first group and an amended second group. Then, the amended first group and the amended second group are verified as being on target or not. Afterwards, the layout pattern including the on target amended first group and the on target amended second group is output. | 02-11-2010 |
Mei-Hua Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100270106 | SECURING DEVICE FOR EXTENDING LADDER - A ladder is composed of a plurality of rung units and each unit includes two hollow tubes and a rung connected between the two hollow tubes. The hollow tubes of the rung units have gradually reduced outer diameters so that the hollow tubes can be telescopically received to form a compact size. The rung is connected to the two hollow tubes of each rung unit by two connectors and each connector includes two buttons which can be pushed in the direction perpendicular to the axis of the rung to release the interlock connection between the rung and the hollow tubes so as to easily collapse or extend the ladder. | 10-28-2010 |
Ming-Tsun Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100313615 | Electronic Lock - An electronic lock includes: an elongated lock case formed to have an opening, and formed thereinside with a bobbin-receiving space and a first passage; an annular bobbin with a middle passage, and disposed such that the middle passage is coaxially and spatially communicating with the first passage to serve as a second passage; a coil being operable to generate a magnetic field inside the second passage; a driving body configured such that when the magnetic field is generated by the coil, the driving body is repulsed by the magnetic field to move toward the opening; a latch body configured such that when the coil generates the magnetic field, the latch body is driven to move from an unlatching position to a latching position; and a spring for storing a restoring force when the latch body moves from the unlatching position to the latching position. | 12-16-2010 |
Min-Yao Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110174529 | STRUCTURE HAVING MULTI-TRACE VIA SUBSTRATE AND METHOD OF FABRICATING THE SAME - A method of fabricating a multi-trace via substrate is disclosed. A substrate at least having a first surface and a hole is provided, wherein the hole has a hole wall. A first conductive layer is formed on the entire surface of the substrate and the hole wall. A photoresist layer applied over the entire surface of the first conductive layer is selectively patterned to define a plurality of laterally separated regions on the first conductive layer. A patterned photoresist layer is used as a mask and a second conductive layer substantially thicker than the first conductive layer is electroplated on the laterally separated regions. The patterned photoresist layer is removed. The portion of the first conductive layer not covered by the second conductive layer is substantially removed to form a plurality of laterally separated traces extended on the first surface and through the hole. | 07-21-2011 |
Pao-Chin Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100031502 | METHOD FOR FABRICATING BLIND VIA STRUCTURE OF SUBSTRATE - A method for fabricating a blind via structure of a substrate is provided. First, a substrate is provided, which includes a conductive layer, a metal layer, and a dielectric layer disposed between the conductive layer and the metal layer. Next, a cover layer is formed on the conductive layer. Finally, the substrate formed with the cover layer is irradiated by a laser beam to form at least one blind via structure extending from the cover layer to the metal layer. The blind via structure includes a first opening, a second opening, and a third opening linking to one another. The first opening passes through the cover layer. The second opening passes through the conductive layer. The third opening passes through the dielectric layer. For example, a size of the first opening is greater than a size of the second opening and a size of the third opening. | 02-11-2010 |
Pei-Shih Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100159575 | QUANTITATIVE SAMPLER OF PATHOGENS IN EXHALED AIR - A quantitative sampler of pathogens in exhaled air is provided. The quantitative sampler includes an air inputting pipe for a sampled individual to blow exhaled air; a check valve unit for preventing the exhaled air from reversely flowing; an aerosol filtering unit having an aerosol filtering material for collecting aerosol particles in the exhaled air; and an air flow meter for measuring if total accumulated volume of the exhaled air reaches a predetermined sampling volume defined as a concentration denominator of exhaled pathogens. The quantitative sampler can be used to collect the aerosol particles, and then moves the aerosol filtering material out of the aerosol filtering unit followed by separating genetic substances in the aerosol particles from the aerosol filter, so that a real-time qPCR can be reacted by using the genetic substances for obtaining a quantitative concentration of the exhaled pathogens. | 06-24-2010 |
Ping-Lin Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110267572 | ACTIVE DEVICE ARRAY SUBSTRATE - An active device array substrate includes a substrate, first scan lines, second scan lines, data lines, display units and a meshed common line. The first and second scan lines are alternately arranged on the substrate. Each of the display units is respectively located between two adjacent data lines and respectively includes a first pixel and a second pixel, wherein the first pixel is electrically connected to one of the first scan lines, the second pixel is electrically connected to one of the second scan lines, and the first and second pixels are respectively electrically connected to a different data line. In addition, the meshed common line includes ring-shaped patterns, wherein each ring-shaped pattern includes two semi-ring-shaped patterns connected to each other and respectively located at both sides of a single data line, and the two semi-ring-shaped patterns of a same ring-shaped pattern are respectively located under different display units. | 11-03-2011 |
20120147069 | LIQUID CRYSTAL DISPLAY AND METHOD FOR DRIVING PANEL THEREOF - A liquid crystal display and a method for driving a liquid crystal display panel thereof are provided. The method includes sequentially generating a plurality of first scan signals to first ends of a plurality of scan lines in the liquid crystal display panel; sequentially generating a plurality of second scan signals to second ends of the scan lines; and coordinating with the generation of each of the first scan signals or the generation of each of the second scan signals to correspondingly generate a plurality of data signals to a plurality of data lines in the liquid crystal display panel. The i | 06-14-2012 |
Pin-Shiun Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100082647 | METHOD FOR CREATING IMAGE FILE AND METHOD FOR SORTING FILE SYSTEMS - A method for creating an image file and a method for sorting file systems are disclosed. First, a plurality of file systems is accessed respectively so as to create a plurality of access messages. Next, the access messages are sorted by using a sorting algorithm. Finally, the locations in an image file of the file systems are determined according to the orders arranged by the access messages so as to compress the file systems into the image file. | 04-01-2010 |
Po-Fan Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100258045 | MARINE VEHICLE HAVING PRE-SWIRL GENERATOR FOR GENERATING PRE-SWIRL FLOW - A marine vehicle includes a hull, a rudder, a propeller, a swirl generator mounting region provided on an outer surface of the hull and located on a port side or a starboard side where a rotation direction of the propeller is downward, and a pre-swirl generator having a swirl creating wedge disposed in the swirl generator mounting region. The swirl generator mounting region is disposed in front of a central axis of the rudder and behind a vertical line spaced from the central axis by a distance that is 0.2 times the length of the vehicle. The swirl creating wedge is inclined with respect to a waterline by an angle (θ | 10-14-2010 |
Po-Yuan Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110159658 | METHOD FOR FABRICATING METAL-OXIDE SEMICONDUCTOR TRANSISTORS - A method for fabricating a metal-oxide semiconductor transistor is disclosed. The method includes the steps of: providing a semiconductor substrate; forming a gate structure on the semiconductor substrate; and performing a first ion implantation process to implant a first molecular cluster having carbon, boron, and hydrogen into the semiconductor substrate at two sides of the gate structure for forming a doped region, wherein the molecular weight of the first molecular cluster is greater than 100. | 06-30-2011 |
Rong-Zhi Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090056840 | Method for manufacturing a sputtering target - A method for manufacturing a sputtering target includes the steps of: providing a highly pure matrix material containing a magnetic metal, and a highly pure precious metal ingot material; cleaning the surfaces of the matrix material and the precious metal ingot; vacuum melting the matrix material and the precious metal ingot to obtain a molten alloy; pouring the molten alloy in a mold having a cooling system while maintaining a surface of the molten alloy at a molten state by arc heating until the pouring is finished, thereby forming the molten alloy into a cast blank; hot working the cast blank; and annealing the cast blank after the hot working. | 03-05-2009 |
20110241253 | METHOD FOR MANUFACTURING COBALT ALLOY-BASED CERAMIC COMPOSITE SPUTTERING TARGET - A method for manufacturing a cobalt (Co) alloy-based ceramic composite sputtering target is provided. A cobalt ingot and a chromium (Cr) ingot are melted in vacuum and then nebulized to form a cobalt-chromium (CoCr) alloy powder. Additionally, a ceramic powder and a platinum powder are wetly mixed to form a platinum-ceramic (Pt-ceramic) slurry, in which the ceramic powder is applied onto the platinum powder's surface uniformly. Next, the CoCr alloy powder and the Pt-ceramic slurry are wetly mixed to form a CoCrPt-ceramic slurry. Thereafter, the CoCrPt-ceramic slurry is dried, molded and compressed to form the cobalt alloy-based ceramic composite sputtering target. The resulted cobalt alloy-based ceramic composite sputtering target, which has a fine and dense structure, uniform composition and lower magnetic permeability, is beneficial to a magnetron sputter deposition process, as well as a film sputtering process used in the magnetic recording industry. | 10-06-2011 |
Shang-Chih Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110176900 | SUCTION HEAD AND TRANSPORTING MACHINE APPLYING THE SAME - A suction head including a first transmission part, a second transmission part and a suction nozzle is provided. The second transmission part is magnetically attracted by the first transmission part to permit a displacement of the second transmission part relative to the first transmission part. The suction nozzle is disposed on the second transmission part and transmitted by the first transmission part via the second transmission part. Additionally, a transporting machine including a shuttle, a transporting mechanism and the aforementioned suction nozzle is provided. The shuttle is capable of carrying an object being transported, and the suction head is driven by the transporting mechanism to take the object being transported. The suction head and the transporting machine applying the same provide high transporting efficiency and ensuring a normal operation in transporting process. | 07-21-2011 |
Shang-Han Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090038339 | STRUCTURE BONDING DIFFERENT METALS FOR VALUABLE ORNAMENTS - A structure bonding different metals for valuable ornaments includes a valuable ornament body which consists of at least a metal body and a hard metal element that are coupled together. The metal body and hard metal element have respectively a coupling surface with a latch flange and a latch trough formed thereon that are engageable with each other. Thus the metal body and the hard metal element can be bonded together securely. The valuable ornament body thus formed has a greater hardness. The bonding of different metals also provides a novel design different from the conventional ornaments. | 02-12-2009 |
Sheng Yuan Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090043620 | METHOD FOR COPY PROPAGATIONS FOR A PROCESSOR - A method for copy propagations of a processor including two clusters, each cluster comprising a first function unit and a second function unit, a first local register file and a second local register file being respectively accessible by the first and second function unit only, and a global register file having a ping-pong structure to access the first and second local register files, the method comprising the steps of: (a) listing possible copy propagation paths between two nodes of a data flow graph; (b) calculating a profit of machine cycles for each of the copy propagation paths according to constraints of the processor; and (c) performing a copy propagation through the copy propagation path if the profit thereof is greater than a threshold value. | 02-12-2009 |
Shuei-Lin Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090168918 | Differential signal modulating apparatus and method thereof - A differential signal modulating apparatus and method thereof is provided. The differential signal modulating apparatus receives differential signal pairs from a transmitter, and generates multimedia streams. It is controlled by modulating the reception sequence of differential pairs to match with the transmitted sequence of differential pairs sent from the transmitter. In addition, the present invention method can be extensively applied to various interfaces. | 07-02-2009 |
20110157426 | VIDEO PROCESSING APPARATUS AND VIDEO PROCESSING METHOD THEREOF - A video processing apparatus and a video processing method are used to capture a view region as a video result. The video processing apparatus includes a video sensor, a temporary memory, and a video pipeline. The video sensor captures the view region at a sensor frame rate and generates a video having a plurality of frames. The video pipeline receives one of the frames directly from the video sensor to serve as a first frame. The video pipeline processes the first frame to generate a temporary result frame, and then generates a video result at a video frame rate according to the temporary result frame and a second frame directly received from the video sensor, wherein the video frame rate is smaller than the sensor frame rate. The video processing method captures the view region as the video result by using the video processing apparatus. | 06-30-2011 |
20110235936 | ROUTABLE IMAGE PIPELINE DEVICE - An image pipeline device is used for processing an image. The device comprises an external memory, a direct memory access (DMA), an image pipeline controller, and a filter layer. The image pipeline controller comprises a physical memory allocation (PMA) having a physical buffer unit, and a first array controller for configuring the physical buffer unit as a corresponding first logic buffer unit. The filter layer comprises a first filter set electrically connected to the first array controller correspondingly and having a plurality of filters. The first filter set receives the image through the first array controller, processes the image selectively according to the first logic buffer unit and the filters, and stores the processed image back to the external memory through the DMA. | 09-29-2011 |
20110255783 | IMAGE FILE PROCESSING METHOD - An processing method is used for processing an image file of an image, in which the image is divided into a plurality of image blocks, and at least one row of the minimum coded units sequentially define the image as a plurality of bands. The processing method includes the following steps. An image file of an image is supplied, in which the image file includes a bit stream and exchangeable image file (EXIF) data. A band indicator of the EXIF data is read according to an expanded range. A segment in the bit stream corresponding to a band encompassed by the expanded range is decoded according to the read band indicator corresponding to the band of the expanded range, so as to obtain the band. | 10-20-2011 |
20120106860 | IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD - An image processing method applied to an image processing device is capable of implementing bitstream stitching technique after interrupting image processing process. The image processing method includes steps of processing the i-th slice of N slices in an image to generate a plurality of first processed data; storing the first processed data in a memory unit; once an interrupting request is generated according to a requested process, storing stitching information associated with the last first processed data after processing the i-th slice; stopping processing the image and executing the requested process according to the interrupting request; continuing to process the (i+1)-th slice of the N slices to generate a plurality of second processed data after the requested process is finished; and storing the second processed data after the last first processed data in the memory unit according to the stitching information. | 05-03-2012 |
20120106861 | IMAGE COMPRESSION METHOD - A compressing method is applicable to compress of an image at a fixed compression ratio, in which the image has a raw data. The compression method includes the steps of receiving the raw data with a predetermined length as a compression unit; compressing the compression unit into a compressed bit stream, wherein a total length of the compressed bit stream being not larger than a target bit stream length; when the total length of the compressed bit stream is smaller than the target bit stream length, appending a dummy code to the compressed bit stream, so that a bit stream length of the compressed bit stream with the dummy code is equal to the target bit stream length; and repeating steps until the raw data is compressed into an image bit stream. | 05-03-2012 |
20120169893 | Image Capturing Device and Image Capturing Method Thereof - An image capturing device and an image capturing method thereof are disclosed. The image capturing device includes an image capturing module and a processing module. The image capturing module captures a plurality of temporary images corresponding to a scene. The processing module sequentially analyzes the temporary images and generates a plurality of analysis results. The processing module dynamically adjusts the sampling time of the image capturing module capturing each temporary image according to the analysis results. Moreover, the processing module selects some of the plurality of temporary images according to the analysis results to integrate images. The image capturing module stops capturing the temporary images based upon a stop signal, and finally a storage image is generated. | 07-05-2012 |
20120177253 | METHOD AND APPARATUS FOR GENERATING PANORAMA - A method and an apparatus for generating a panorama are provided. In the present method, a plurality of raw images of a scene is captured. A coarse motion estimation is executed on the raw images to obtain a coarse motion estimation result of the scene. In the meantime, the raw images inside a window of interest (WOI) are cropped to obtain a plurality of cropped images, and a fine motion estimation is executed on the cropped images according to the coarse motion estimation result of the scene, so as to obtain a fine motion estimation result of the scene. The raw images are stitched and blended according to the fine motion estimation result, so as to generate the panorama. | 07-12-2012 |
20120314123 | System and Method of Driving Shutter by Tendency of Moving Object - A system and method of driving shutter by an object moving tendency. In this method, an image capture process is performed, wherein a sensor captures a first image of a moving object in a first resolution in the image capture process. Then, a prediction moving module analyzes the first image to acquire a prediction time for the moving object to reach a feature position. Finally, an automatic shutter control process is performed according to the prediction time, and a second image with a second resolution is captured, wherein the value of the second resolution is larger than that of the first resolution. This method automatically captures the second image of the moving object when situated at the feature position by using the prediction moving system to analyze the moving object. | 12-13-2012 |
20130016878 | Image Processing Device and Image Processing Method ThereofAANM Pan; Chia-HoAACI Tainan CityAACO TWAAGP Pan; Chia-Ho Tainan City TWAANM Chen; Shuei-LinAACI Kaohsiung CityAACO TWAAGP Chen; Shuei-Lin Kaohsiung City TWAANM Lee; I-HsienAACI Hsinchu CityAACO TWAAGP Lee; I-Hsien Hsinchu City TW - An image processing device and an image processing method thereof. The image processing device comprises a memory module, an object detection module and a processing module. The memory module is provided for storing a plurality of images captured by a camera module, and the image comprises at least one object. The object detection module retrieves one of the images as a reference image and compares the remaining images with the reference image to locate a region where the object with corresponding contour and color is situated. If the object detection module compares and determines that the object with the corresponding contour and color is situated in different regions of the reference image and the remaining images, the processing module will remove the corresponding object in the reference image or superimpose each corresponding object in the reference image simultaneously. | 01-17-2013 |
20130136171 | Video Signal Encoder/Decoder with 3D Noise Reduction Function and Control Method Thereof - A video signal encoder/decoder with a 3D noise reduction function and a method thereof. The encoder comprises a storage module, a motion estimation module, a motion compensation module, a first noise reduction module and a coding module. The storage module stores at least one reference image. The motion estimation module receives a first image from an image input end and estimates a motion vector in accordance with the first image and the reference image. The motion compensation module produces motion compensation according to the reference image and the motion vector. The first noise reduction module produces a first noise reduction value with a temporal sequence association according to the first image and the motion compensation. The coding module produces coding data according to the motion compensation and the first noise reduction value. | 05-30-2013 |
20130169758 | THREE-DIMENSIONAL IMAGE GENERATING DEVICE - A three-dimensional (3D) image generating device including a first memory unit, a first (master) processor, and a second (slave) processor is provided. The first processor and the second processor respectively include a first image processing unit and a second image processing unit. The first processor further includes a data access unit. The first image processing unit and the second image processing unit respectively receive images representing a first human eye and a second human eye and generate a first image and a second image through image processing. The data access unit receives the first image from the first image processing unit and writes it into the first memory unit according to a predetermined 3D image format. The second image processed by the second image processing unit is transmitted to the data access unit and written into the first memory unit according to the predetermined 3D image format. | 07-04-2013 |
20130250136 | IMAGE PICKUP DEVICE AND IMAGE PREVIEW SYSTEM AND IMAGE PREVIEW METHOD THEREOF - The present invention discloses an image pickup device, an image preview system and an image preview method thereof. The image pickup device comprises an image pickup module, an image processing module and a display module. The image pickup module is arranged for capturing a plurality of images. The image processing module is arranged for scaling down pixels of each image to generate a plurality of adjusted images, and combining the plurality of adjusted images to generate a preview image. The display module is arranged for displaying the preview image. Wherein, the image processing module is arranged for rendering a high dynamic range image according to the plurality of images while the plurality of adjusted images is blended. | 09-26-2013 |
Shun-Tzu Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20080289146 | Hidden type of hinge structure - A hinge includes first and second holders, which are fixedly inserted in a doorframe and a door plank respectively, and a hinge pivoting the holders together; the hinge includes several first and second bent arms, which have first and second holes on two tail ends, and an intermediate hole; a pivotal pin is passed through the intermediate holes; another pin is passed through the first holes of the first arms to pivot the first arms to the first holder; yet another pin is passed through the first holes of the second arms to pivot the second arms to the second holder; a sliding pin is passed through the second holes of the first arms and passed into opposing upper and lower slots of the second holder; a second sliding pin is passed through the second holes of the second arms and passed into opposing slots of the first holder. | 11-27-2008 |
Tai-Chang Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110155341 | MOLD SET FOR MANUFACTURING CASE AND THE METHOD THEREOF - A mold set for manufacturing a case is provided. The mold set comprises an upper mold having a fluid channel; a lower mold facing the upper mold; and a drawing mold disposed between the upper mold and the lower mold, wherein the mold set has a case forming space formed among the upper mold, the lower mold and the drawing mold, and the mold set has a sharp-edge forming space communicating with the case forming space, and formed between the drawing mold and the lower mold. | 06-30-2011 |
Tai-Liang Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090033474 | Car door opening early-warning system - A car door opening early-warning system, which is adapted to a vehicle having a plurality of door locks and an electric module that is used to generate an actuation power, is provided. The early-warning system includes a plurality of interlocking devices and at least one warning device. The interlocking devices are disposed on the corresponding door locks, and are connected to the warning device and the electric module. The warning device is disposed on the vehicle, and when the door locks are unlocked, the interlocking devices are actuated to conduct the actuation power to the warning device, such that the warning device is actuated to send a warning signal. | 02-05-2009 |
Tien-Ping Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090011383 | Extrasonic Scaler - An extrasonic scaler includes a triangulate straight scaler, a leftward bent arc-shaped triangulate scaler, a rightward bent arc-shaped triangulate scaler, a leftward bent distal-hoe semi-triangulate scaler, a rightward bent distal-hoe semi-triangulate scaler, a leftward bent mesial-hoe semi-triangulate scaler, and a rightward bent mesial-hoe semi-triangulate scaler. Thus, the extrasonic scaler is designed according to the tooth pattern of the human body so that the extrasonic scaler can reach the deeper surface of the tooth root from various different directions to remedy the oral and periodontal diseases, thereby enhancing the working efficiency. | 01-08-2009 |
Tsung Hsin Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110152436 | MIXTURE OF CONJUGATED DIENE - VINYL AROMATIC HYDROCARBON COPOLYMERS AND ADHESIVE COMPOSITION HAVING THE SAME - The present invention provides a mixture of conjugated diene-vinyl aromatic hydrocarbon copolymers and an adhesive having the same. The mixture of conjugated diene-vinyl aromatic hydrocarbon copolymers comprises 10 to 45 wt. % of a conjugated diene-vinyl aromatic hydrocarbon di-block copolymer, 10 to 45 wt. % of a conjugated diene-vinyl aromatic hydrocarbon tapered di-block copolymer and 10 to 80 wt. % of a conjugated diene-vinyl aromatic multi-block copolymer. | 06-23-2011 |
Wan-Chiar Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090015356 | Current Inductive Timer Socket - A current inductive timer socket comprises: a principle relay circuit | 01-15-2009 |
Wen Chien Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100199550 | METHOD OF TRANSFORMING A CROP WASTE INTO A SOLID FUEL AND A SOLID FUEL MADE BY THE METHOD - A method of transforming a crop waste into a solid fuel includes the steps of dehydrating the crop waste such as rice husks, rice stalks, hays, or plant stems to have a water content of from 18% to 30% by weight, smashing and stirring; adding an additive having clay ingredient, and compressing at a temperature of 150 to 210 degrees Celsius and a pressure of 300 Psi/cm | 08-12-2010 |
Yann-Horng Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100035226 | COMPUTERIZED EDUCATION DEVICE, MULTIMEDIA PRODUCTION DEVICE AND ASSOCIATED METHODS TO SUPPORT DISTANCE LEARNING - A computerized education device, a multimedia production device and associated methods in accordance with the present invention provide students with an adaptive and proactive interaction learning environment. The computerized education device allows students to log in and take tests and comprises a computer-based learning platform, a content database, a student database and a processor. The multimedia production device has multiple-user and multiple-tasking capabilities to produce multimedia materials and comprises a managing server and multiple stations. A FLASH component conversion method generates a Flash component without expensive creation work and comprises acts of retrieving content, sampling, generating a small web format file, generating a data model and generating a FLASH quiz. The interacting method changes difficulty of content based on responses of students and comprises acts of qualifying, entertaining and compiling statistics. | 02-11-2010 |
Ya-Pei Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100035226 | COMPUTERIZED EDUCATION DEVICE, MULTIMEDIA PRODUCTION DEVICE AND ASSOCIATED METHODS TO SUPPORT DISTANCE LEARNING - A computerized education device, a multimedia production device and associated methods in accordance with the present invention provide students with an adaptive and proactive interaction learning environment. The computerized education device allows students to log in and take tests and comprises a computer-based learning platform, a content database, a student database and a processor. The multimedia production device has multiple-user and multiple-tasking capabilities to produce multimedia materials and comprises a managing server and multiple stations. A FLASH component conversion method generates a Flash component without expensive creation work and comprises acts of retrieving content, sampling, generating a small web format file, generating a data model and generating a FLASH quiz. The interacting method changes difficulty of content based on responses of students and comprises acts of qualifying, entertaining and compiling statistics. | 02-11-2010 |
Yeh-Long Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090111987 | Imino-Indeno[1,2-c] quinoline derivatives, their preparation processes, and pharmaceutical compositions comprising the same - Disclosed herein are novel imino-indeno[1,2-c]quinoline derivatives of formula (I): | 04-30-2009 |
20090215767 | ISOFLAVONE DERIVATIVES AND PHARMACEUTICAL COMPOSITIONS COMPRISING THE SAME - An isoflavone derivative is provided. The isoxazole derivative has following formula: | 08-27-2009 |
20090215768 | ISOXAZOLE DERIVATIVES AND PHARMACEUTICAL COMPOSITIONS COMPRISING THE SAME - An isoxazole derivative is provided. The isoxazole derivative has following formula: | 08-27-2009 |
20130172336 | 4-ANILINOFURO[2,3-B]QUINOLINE DERIVATIVES, THEIR PREPARATION PROCESSES, AND PHARMACEUTICAL COMPOSITIONS COMPRISING THE SAME - Disclosed herein are novel 4-anilinofuro[2,3-b]quinoline derivatives of formula (I): | 07-04-2013 |
Yin Che Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090243639 | Circuit for Multi-Pads Test - The present invention relates to a circuit for multi-pads test, which is used for testing a plurality of pads. The circuit comprises one or more testing circuits, a plurality of testing switches, and a plurality of pad switches. The plurality of testing switches is coupled between the testing circuits and the plurality of pads, respectively; the plurality of pad switches is coupled between the pads, respectively. Thereby, by coordination of the plurality of pad switches and the plurality of testing switches, the number of testing probes of the testing apparatus for testing the pads can be reduced, the design difficulty of the testing apparatus can be reduced, and thus the costs can be reduced. | 10-01-2009 |
Ying-Li Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20100316974 | METHOD OF MAKING A SURGICAL TEMPLATE USED FOR A COMPUTER-GUIDED DENTAL IMPLANT SURGERY - A method of making a surgical template comprises: producing a 3-D geometrical image by a CT scanning performed on a patient's jaw and establishing corresponding implant planning data to obtain a 3-D first digital image, making a positive plaster model of the patient's jaw, scanning the plaster model to obtain a 3-D second digital image, overlapping the second digital image on the first digital image to obtain a computer representation of the plaster model and at least one implant to be mounted according to the implant planning data, drilling the plaster model to form at least one pinhole according to the implant planning data, inserting a pin into the pinhole, producing a negative template body from an assembly of the plaster model and the pin with a thermoplastic dental material so that the negative template body has at least one implant guide hole and constitutes the surgical template. | 12-16-2010 |
Ying-Yu Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090189778 | METER READING SYSTEM - A meter reading system is provided for use in reading the water, electricity or gas meters, in which a data logger and a meter are installed at user end. When desiring to obtain the readings of a meter at user end, a mobile meter reader sends a control signal via a communication method to the data logger and at the same time obtains the readout of user-end meter connected to the data logger. The meter reading system improves the situation of wrong recording of readout and saves the cost of labor in conventional manual meter reading. | 07-30-2009 |
Yi-Yu Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110153520 | Asset Investment Simulation System Based on Investment Behavior Analysis, Time Compression, and Event Re-Sequencing - A asset investment simulation system based on investment behavior analysis, time compression, and event re-sequencing has a network server containing a user profile module for account and password management, an event database containing domestic and international events that affected the financial market, a scenario module for selection of country of investment and time period, an economic windows module for market news for the determination of investment target and amount, an asset allocation module for portfolio suggestions, a trading interface for online trading upon reviewing news messages and economic indexes, a performance evaluation module for performance management and detail data display of account value, portfolio, and performance, and an investment behavior analysis module for investment behavior analysis and investment behavior suggestions. | 06-23-2011 |
Yueh-Hua Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090136204 | SYSTEM AND METHOD FOR REMOTE LIVE PAUSE - A remote live pause method establishes a connection between a client and a server, and transmits a time-shift start command to the server through the connection, a bit-stream of a live media data to the client through the connection according to the time-shift start command, and a time-shift command to the server through the connection to start a trick play function at the client. | 05-28-2009 |
Yung Chuan Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110238397 | METHOD AND APPARATUS FOR TRANSACTION RECORDING AND VISUALIZATION - Methods and apparatus for recording and visualizing transactions of a test bench simulation are disclosed. Transaction-specific data generated from a test bench simulation may be displayed in a sequence diagram view to provide a view of the transactions arranged sequentially in time. | 09-29-2011 |
20120041401 | MINUS-PRESSURED BANDAGE - A minus-pressured bandage includes a coverage layer, a minus-pressed acting member, an adhering layer and a fixing tap. The coverage layer includes a through-hole to be connected to a minus-pressured device. The minus-pressed acting member includes a fixed-shaped layer and a contact layer abutting with the fixed-shaped layer. The contact layer is made of a resilient material. The fixed-shaped layer has hardness greater than that of the contact layer. Each of the fixed-shaped layer and contact layer has a plurality of first absorbing holes and a plurality of second absorbing holes aligned with the first absorbing holes. The adhering layer is porous and abuts between the coverage layer and the fixed-shaped layer. The fixing tap is arranged on the coverage layer in order to fasten the minus-pressured bandage on the wound. In this arrangement, a minus-pressured suction applied to the wound may be increased to facilitate capillary angiogenesis. | 02-16-2012 |
20140046647 | ACTIVE TRACE ASSERTION BASED VERIFICATION SYSTEM - A method is presented for responding to user input by displaying when a circuit has a property expressed by an assertion based on data indicating values of signals of the circuit at a succession of times. The assertion expresses the property as a first sequence of expressions, and separately defines for each expression a corresponding evaluation time relative to the succession of times at which the expression is to be evaluated. The circuit has the property only if every expression of the first sequence evaluates true at its corresponding evaluation time. The method includes displaying a representation of each expression of the first sequence and identifying each variable that caused that expression to evaluate false and distinctively marking that variable's symbol relative to other variable symbols within the display for each expression of the first sequence that evaluates false at its corresponding evaluation time. | 02-13-2014 |
Yun Yen Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20090150380 | SYSTEM AND METHOD FOR PROCESSING SOCIAL RELATION ORIENTED SERVICE - A method for social relationship oriented service processing, which comprises steps of: providing relationship data, searching for at least one first level social member from a first level social network according to the relationship data, forwarding a list of the first level social members to a server, and searching by the server for at least one second level social member from a second level social network according to the list and the relationship data. | 06-11-2009 |
20090327484 | SYSTEM AND METHOD FOR ESTABLISHING PERSONAL SOCIAL NETWORK, TRUSTY NETWORK AND SOCIAL NETWORKING SYSTEM - The present invention provides a communication method of a community system, comprising the steps of: receiving a message from a member of a first environment by an apparatus; according to a community descriptive element of the message, examining whether the member of the first environment belongs to a first personal social network corresponding to the message; and if affirmative, providing a service according to the acquirement of the message. | 12-31-2009 |
Yu-Yawn Chen, Kaohsiung City TW
Patent application number | Description | Published |
---|---|---|
20110183918 | Method of Treating Leukemia Using Rcie Prolamin - The present invention relates to a method of treating leukemia using rice prolamin, and a pharmaceutical composition for treating leukemia, comprising an effective amount of rice prolamin together with one or more pharmaceutically acceptable carriers or excipients. For the treatment application, the rice prolamin can stimulate human peripheral blood mononuclear cell (PBMC) to produce cytokines, such as tumor necrosis factor-alpha, to inhibit growth of and induce differentiation of human leukemia U937 cells. The rice prolamin is gluten-free, thus will not trigger gastrointestinal allergic reaction. | 07-28-2011 |
20150051156 | METHOD OF TREATING LEUKEMIA USING RICE PROLAMIN - The present invention relates to a method of treating leukemia using rice prolamin, comprising administering a daily dose of isolated prolamin to a patient suffering from leukemia for a period of time from 5 days to 10 days. For the treatment application, the rice prolamin can stimulate human peripheral blood mononuclear cell (PBMC) to produce cytokines, such as tumor necrosis factor-alpha, to inhibit growth of and induce differentiation of human leukemia cells. The rice prolamin is gluten-free, thus will not trigger gastrointestinal allergic reaction, it also can decrease the tumor weight without changes in body weight and can inhibit the growth of peripheral blood leukocyte in leukemia mouse model. | 02-19-2015 |