41st week of 2013 patent applcation highlights part 38 |
Patent application number | Title | Published |
20130267054 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND FABRICATION METHOD FOR SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a lower cladding layer, an active layer, and an AlGaAs upper cladding layer mounted on a GaAs substrate. The semiconductor light emitting device has a ridge structure including the AlGaAs upper cladding layer. The semiconductor light emitting device further includes an InGaAs etching stop layer provided in contact with the lower side of the AlGaAs upper cladding layer. The InGaAs etching stop layer has a band gap greater than that of the active layer. | 2013-10-10 |
20130267055 | DEPOSITION SUBSTRATE OF DEPOSITION APPARATUS, METHOD OF FORMING LAYER USING THE SAME, AND METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - Provided are a deposition substrate of a deposition apparatus, a method of forming a layer using the same, and a method of manufacturing an organic light emitting diode (OLED) display device. The method of forming a layer using the deposition substrate includes preparing a substrate, forming a heating conductive layer for Joule heating on the substrate, forming a first insulating layer on the heating conductive layer for Joule heating and including a groove or hole, forming a deposition material layer on a top surface of the first insulating layer having the groove or hole, and applying an electric field to the heating conductive layer for Joule heating to perform Joule-heating on the deposition material layer. Thus, the method is suitable for manufacturing a large-sized device. | 2013-10-10 |
20130267056 | MANUFACTURING METHOD OF ELECTRON MULTIPLIER SUBSTRATE, MANUFACTURING METHOD OF ELECTRON MULTIPLIER AND MANUFACTURING METHOD OF RADIATION DETECTOR - An underlayer is formed on a side wall | 2013-10-10 |
20130267057 | SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME - A semiconductor package and a method for manufacturing the same are provided. The semiconductor package includes a semiconductor chip having a first surface, a second surface and a pixel area, first adhesion patterns disposed on the first surface, second adhesion patterns disposed between the first adhesion patterns and the pixel area and disposed on the first surface, and external connection terminals disposed on the second surface, wherein the second adhesion patterns and the external connection terminals are disposed to overlap each other. | 2013-10-10 |
20130267058 | ANTI-REFLECTIVE IMAGE SENSOR - An anti-reflective image sensor and method of fabrication are provided, the sensor including a substrate; first color sensing pixels disposed in the substrate; second color sensing pixels disposed in the substrate; third color sensing pixels disposed in the substrate; a first layer disposed directly on the first, second and third color sensing pixels; a second layer disposed directly on the first layer overlying the first, second and third color sensing pixels; and a third layer disposed directly on portions of the second layer overlying at least one of the first or second color sensing pixels, wherein the first layer has a first refractive index, the second layer has a second refractive index greater than the first refractive index, and the third layer has a third refractive index greater than the second refractive index. | 2013-10-10 |
20130267059 | METHOD OF MANUFACTURING PHOTOELECTRIC DEVICE - A method of manufacturing a photoelectric device, the method including: forming a first semiconductor layer on a semiconductor substrate through a first ion implantation; forming a second semiconductor layer having an inverted conductive type on a part of the first semiconductor layer through a second ion implantation; and performing thermal processing to restore lattice damage of the semiconductor substrate and activate a dopant into which ion implanted. | 2013-10-10 |
20130267060 | APPARATUS AND METHOD FOR FORMING A TRANSPARENT CONDUCTIVE OXIDE LAYER OVER A SUBSTRATE USING A LASER - An apparatus and method for converting an amorphous transparent conductive oxide to a crystalline form with the assistance of irradiation of a laser. | 2013-10-10 |
20130267061 | METHOD FOR FABRICATING FLEXIBLE ELECTRICAL DEVICES - A method for fabricating a flexible electrical device is provided. The method includes providing a first substrate, providing a second substrate opposed to the first substrate, wherein one of the first and second substrates includes a polyimide polymer of Formula (I) | 2013-10-10 |
20130267062 | Dispensing Tool - A dispensing tool includes a dispensing outlet for depositing a specific amount of a mounting material on a carrier when the dispensing outlet is at a predetermined dispensing distance from the carrier. The dispensing tool also includes a protrusion element protruding past the dispensing outlet by spanning the dispensing distance between dispensing outlet and carrier during dispensing. | 2013-10-10 |
20130267063 | METHOD FOR FABRICATING A SEMICONDUCTOR DEVICE AND SEMICONDUCTOR PACKAGE - A method for fabricating a semiconductor chip module and a semiconductor chip package is disclosed. One embodiment provides a first layer, a second layer, and a base layer. The first layer is disposed on the base layer, and the second layer is disposed on the first layer. A plurality of semiconductor chips is applied above the second layer, and the second layer with the applied semiconductor chips is separated from the first layer. | 2013-10-10 |
20130267064 | METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device has a step of forming a first substrate; a step of facing a first main electrode to the first metal foil, and electrically connecting the first main electrode and the first metal foil; a step of facing a second main electrode to the second metal foil, and electrically connecting the second main electrode and the second metal foil; a step of forming a second substrate; and steps of facing a surface side of the second substrate to a surface side of the first substrate; electrically connecting the third metal foil and a third main electrode provided on a main surface of the first semiconductor element; and electrically connecting the fourth metal foil and a fourth main electrode provided on a main surface of the second semiconductor element. | 2013-10-10 |
20130267065 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A wafer is mounted to a dicing frame using a holding tape. A plurality of semiconductor devices are provided on a center portion of a major surface of the wafer. A ring-like reinforcing section is provided on a periphery of the major surface. The holding tape is adhered to the major surface The holding tape is heated to at least 0.6 times of melting temperature of the holding tape so as to adhere the holding tape along a step of the ring-like reinforcing section. | 2013-10-10 |
20130267066 | Semiconductor Packages and Methods of Fabricating the Same - Provided are a semiconductor package and a method of fabricating the same. The semiconductor package includes a semiconductor chip having a bonding pad, a metal line electrically connected to the semiconductor chip and having a terminal contacting an external terminal, an insulation layer covering the metal line and having an opening that defines the terminal, and a molding layer molding the semiconductor chip, wherein the molding layer includes a recess pattern exposing the bonding pad and extending from the bonding pad to the terminal, and the metal line is embedded in the recess pattern to contact the bonding pad. | 2013-10-10 |
20130267067 | Embedded NOR Flash Memory Process with NAND Cell and True Logic Compatible Low Voltage Device - An integrated circuit formed of nonvolatile memory array circuits, logic circuits and linear analog circuits is formed on a substrate. The nonvolatile memory array circuits, the logic circuits and the linear analog circuits are separated by isolation regions formed of a shallow trench isolation. The nonvolatile memory array circuits are formed in a triple well structure. The nonvolatile memory array circuits are NAND-based NOR memory circuits formed of at least two floating gate transistors that are serially connected such that at least one of the floating gate transistors functions as a select gate transistor to prevent leakage current through the charge retaining transistors when the charge retaining transistors is not selected for reading. Each column of the NAND-based NOR memory circuits are associated with and connected to one bit line and one source line. | 2013-10-10 |
20130267068 | PROCESSING METHOD OF STACKED-LAYER FILM AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - In a processing method of a stacked-layer film in which a metal film is provided on an oxide insulating film, plasma containing an oxygen ion is generated by applying high-frequency power with power density greater than or equal to 0.59 W/cm | 2013-10-10 |
20130267069 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device is disclosed. The exemplary method includes providing a substrate having a source region and a drain region. The method further includes forming a first recess in the substrate within the source region and a second recess in the substrate within the drain region. The first recess has a first plurality of surfaces and the second recess has a second plurality of surfaces. The method also includes epi-growing a semiconductor material in the first and second recesses and, thereafter, forming shallow isolation (STI) features in the substrate. | 2013-10-10 |
20130267070 | REPLACEMENT GATES TO ENHANCE TRANSISTOR STRAIN - Some embodiments of the present invention include apparatuses and methods relating to NMOS and PMOS transistor strain. | 2013-10-10 |
20130267071 | SELF-ALIGNED STRAP FOR EMBEDDED CAPACITOR AND REPLACEMENT GATE DEVICES - After forming a planarization dielectric layer in a replacement gate integration scheme, disposable gate structures are removed and a stack of a gate dielectric layer and a gate electrode layer is formed within recessed gate regions. Each gate electrode structure is then recessed below a topmost surface of the gate dielectric layer. A dielectric metal oxide portion is formed above each gate electrode by planarization. The dielectric metal oxide portions and gate spacers are employed as a self-aligning etch mask in combination with a patterned photoresist to expose and metalize semiconductor surfaces of a source region and an inner electrode in each embedded memory cell structure. The metalized semiconductor portions form metal semiconductor alloy straps that provide a conductive path between the inner electrode of a capacitor and the source of an access transistor. | 2013-10-10 |
20130267072 | NON-VOLATILE MEMORY (NVM) AND LOGIC INTEGRATION - A method of forming an NVM cell and a logic transistor uses a semiconductor substrate. In an NVM region, a polysilicon select gate of the NVM cell is formed over a first thermally-grown oxygen-containing layer, and in a logic region, a work-function-setting material is formed over a high-k dielectric and a polysilicon dummy gate is formed over the work-function-setting material. Source/drains, a sidewall spacer, and silicided regions of the logic transistor are formed after the first thermally-grown oxygen-containing layer is formed. The polysilicon dummy gate is replaced by a metal gate. The logic transistor is protected while the NVM cell is then formed including forming a charge storage region. | 2013-10-10 |
20130267073 | Method of Manufacturing Fin Field Effect Transistor - The present invention discloses a method of manufacturing a fin field effect transistor, which comprises the steps of forming a plurality of first fin structures on a substrate, which extend along a first direction parallel to the substrate; forming a plurality of second fin structures on a substrate, which extend along a second direction parallel to the substrate and the second direction intersecting with the first direction; selectively removing a part of the second fin structures to form a plurality of gate lines; and selectively removing a part of the first fin structures to form a plurality of substrate lines. In the method of manufacturing a fin field effect transistor according to the present invention, the gate lines and substrate lines are formed simultaneously by first making uniform silicon wing lines and gate wing lines using a limiting photolithography patternizing technique and then performing a centralized cutting of the corresponding specific regions, thereby increasing uniformity and reducing process difficulty and cost. | 2013-10-10 |
20130267074 | INTEGRATION TECHNIQUE USING THERMAL OXIDE SELECT GATE DIELECTRIC FOR SELECT GATE AND APARTIAL REPLACEMENT GATE FOR LOGIC - A thermally-grown oxygen-containing layer is formed over a control gate in an NVM region, and a high-k dielectric layer and barrier layer are formed in a logic region. A polysilicon layer is formed over the oxygen-containing layer and barrier layer and is planarized. A first masking layer is formed over the polysilicon layer and control gate defining a select gate location laterally adjacent the control gate. A second masking layer is formed defining a logic gate location. Exposed portions of the polysilicon layer are removed such that a select gate remains at the select gate location and a polysilicon portion remains at the logic gate location. A dielectric layer is formed around the select and control gates and polysilicon portion. The polysilicon portion is removed to result in an opening at the logic gate location which exposes the barrier layer. | 2013-10-10 |
20130267075 | Integrated Circuit with Multi Recessed Shallow Trench Isolation - A system and method for forming multi recessed shallow trench isolation structures on substrate of an integrated circuit is provided. An integrated circuit includes a substrate, at least two shallow trench isolation (STI) structures formed in the substrate, an oxide fill disposed in the at least two STI structures, and semiconductor devices disposed on the oxide fill in the at least two STI structures. A first STI structure is formed to a first depth and a second STI structure is formed to a second depth. The oxide fill fills the at least two STI structures, and the first depth and the second depth are based on semiconductor device characteristics of semiconductor devices disposed thereon. | 2013-10-10 |
20130267076 | WAFER DICING USING HYBRID MULTI-STEP LASER SCRIBING PROCESS WITH PLASMA ETCH - Methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. A method includes forming a mask above the semiconductor wafer. The mask is composed of a layer covering and protecting the integrated circuits. The mask is patterned with a multi-step laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the semiconductor wafer between the integrated circuits. The semiconductor wafer is then etched through the gaps in the patterned mask to singulate the integrated circuits. | 2013-10-10 |
20130267077 | METHOD AND SYSTEM FOR MANUFACTURING SEMICONDUCTOR DEVICE - The present invention provides a system and method for manufacturing a semiconductor device including a substrate and a high-κ dielectric layer on the substrate. The system comprises a modular track; a substrate-forming chamber connected with the modular track for forming the substrate; and an atomic layer deposition (ALD) chamber connected with the modular track for providing the high-κ dielectric layer. | 2013-10-10 |
20130267078 | PROCESSES FOR PREPARING STRESSED SEMICONDUCTOR WAFERS AND FOR PREPARING DEVICES INCLUDING THE STRESSED SEMICONDUCTOR WAFERS - Processes for preparing a stressed semiconductor wafer and processes for preparing devices including a stressed semiconductor wafer are provided herein. An exemplary process for preparing a stressed semiconductor wafer includes providing a semiconductor wafer of a first material having a first crystalline lattice constant. A stressed crystalline layer of a second material having a different lattice constant from the first material is pseudomorphically formed on a surface of the semiconductor wafer. A first via is etched through the stressed crystalline layer and at least partially into the semiconductor wafer to release stress in the stressed crystalline layer adjacent the first via, thereby transferring stress to the semiconductor wafer and forming a stressed region in the semiconductor wafer. The first via in the semiconductor wafer is filled with a first filler material to impede dissipation of stress in the semiconductor wafer. | 2013-10-10 |
20130267079 | MOLECULAR LAYER DEPOSITION OF SILICON CARBIDE - Molecular layer deposition of silicon carbide is described. A deposition precursor includes a precursor molecule which contains silicon, carbon and hydrogen. Exposure of a surface to the precursor molecule results in self-limited growth of a single layer. Though the growth is self-limited, the thickness deposited during each cycle of molecular layer deposition involves multiple “atomic” layers and so each cycle may deposit thicknesses greater than typically found during atomic layer depositions. Precursor effluents are removed from the substrate processing region and then the surface is irradiated before exposing the layer to the deposition precursor again. | 2013-10-10 |
20130267080 | METHOD OF MANUFACTURE FOR A SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device includes providing a semiconductor layer of a first conductivity type and forming a semiconductor layer of a second conductivity type thereon. The method also includes forming an insulator layer on the semiconductor layer of the second conductivity type, etching a trench into at least the semiconductor layer of the second conductivity type, and forming a thermal oxide layer in the trench and on the semiconductor layer of the second conductivity type. The method further includes implanting ions into the thermal oxide layer, forming a second insulator layer, removing the second insulator layer from a portion of the trench, and forming an oxide layer in the trench and on the epitaxial layer. Moreover, the method includes forming a material in the trench, forming a second gate oxide layer over the material, and patterning the second gate oxide layer. | 2013-10-10 |
20130267081 | POST-DEPOSITION SOFT ANNEALING - The methods and apparatus disclosed herein concern a process that may be referred to as a “soft anneal.” A soft anneal provides various benefits. Fundamentally, it reduces the internal stress in one or more silicon layers of a work piece. Typically, though not necessarily, the internal stress is a compressive stress. A particularly beneficial application of a soft anneal is in reduction of internal stress in a stack containing two or more layers of silicon. Often, the internal stress of a layer or group of layers in a stack is manifest as wafer bow. The soft anneal process can be used to reduce compressive bow in stacks containing silicon. The soft anneal process may be performed without causing the silicon in the stack to become activated. | 2013-10-10 |
20130267082 | CHALCOGENIDE-CONTAINING PRECURSORS, METHODS OF MAKING, AND METHODS OF USING THE SAME FOR THIN FILM DEPOSITION - Disclosed are chalcogenide-containing precursors for use in the manufacture of semiconductor, photovoltaic, LCD-TFT1 or fiat panel type devices. Also disclosed a methods of synthesizing the chalcogenide-containing precursors and vapor deposition methods, preferably thermal ALD, using the chaicogenide-containing precursors to form chaicogenide-containing films. | 2013-10-10 |
20130267083 | PRODUCING METHOD FOR SEMICONDUCTOR DEVICE - According to one embodiment, a producing method for a semiconductor device includes first impurities containing phosphorus or boron in the form of molecular ion and second impurities containing carbon, fluorine or nitrogen with less implantation amount than this phosphorus or boron in the form of molecular ion are implanted into a semiconductor layer to form an impurity implantation layer. | 2013-10-10 |
20130267084 | METHOD FOR FORMING SUPERACTIVE DEACTIVATION-RESISTANT JUNCTION WITH LASER ANNEAL AND MULTIPLE IMPLANTS - A pulsed-laser anneal technique includes performing an implant of a selected region of a semiconductor wafer. A co-constituent implant of the selected region is performed, and the pulsed-laser anneal of the selected region performed. A pre-amorphizing implant of the selected region can also be performed. In one embodiment, the implant of the selected region is performed as an insitu implant. In another embodiment, the co-constituent implant is performed as an insitu non-donor implant. In yet another embodiment, the implant and the co-constituent implant of the selected region are performed as an insitu donor and co-constituent implant. | 2013-10-10 |
20130267085 | METHODS FOR FABRICATING A METAL STRUCTURE FOR A SEMICONDUCTOR DEVICE - A method for fabricating a metal structure for a semiconductor device is disclosed. The method begins with providing a wafer with a current input contact and current output contact. Remaining steps include loading the wafer into a deposition apparatus, depositing a layer of metal onto a predefined metal region, removing the wafer from the deposition apparatus, and performing an ex-situ passivation process. If additional layers are to be deposited and passivated, the steps are repeated until a predetermined number of layers of metal are deposited onto the predefined metal region. The predefined metal region is a gate metal opening if the metal structure is a gate contact for a field effect transistor. The ex-situ passivation process is achievable through oxidation or nitridation of the wafer using either oxygen plasma or a nitrogen plasma, respectively. Alternately, oxidation is also achievable through exposing the wafer to air at an elevated temperature. | 2013-10-10 |
20130267086 | PASSIVATING POINT DEFECTS IN HIGH-K GATE DIELECTRIC LAYERS DURING GATE STACK FORMATION - Generally, the present disclosure is directed to techniques for improving the reliability of semiconductor devices with high-k gate dielectric layers by passivating point defects during the gate stack formation. One illustrative method disclosed herein includes performing a plurality of material deposition cycles to form a high-k dielectric layer above a semiconductor material layer, and introducing a passivating material into a gaseous precursor that is used for forming the high-k dielectric layer during at least one of the plurality of material deposition cycles. | 2013-10-10 |
20130267087 | LAYOUT AND PAD FLOOR PLAN OF POWER TRANSISTOR FOR GOOD PERFORMANCE OF SPU AND STOG - A power transistor for use in an audio application is laid out to minimize hot spots. Hot spots are created by non-uniform power dissipation or overly concentrated current densities. The source and drain pads are disposed relative to each other to facilitate uniform power dissipation. Interleaving metal fingers and upper metal layers are connected directly to lower metal layers in the absence of vias to improve current density distribution. This layout improves some fail detection tests by 17%. | 2013-10-10 |
20130267088 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE - A method of fabricating a semiconductor device includes forming switching devices on a substrate. A lower structure is formed in the substrate having the switching devices. A lower conductive layer is formed on the lower structure. Sacrificial mask patterns are formed on the lower conductive layer. Lower conductive patterns are formed by etching the lower conductive layer using the sacrificial mask patterns as an etch mask. An interlayer insulating layer is formed on the substrate having the lower conductive patterns. Interlayer insulating patterns are formed by planarizing the interlayer insulating layer until the sacrificial mask patterns are exposed. Openings exposing the lower conductive patterns are formed by removing the exposed sacrificial mask patterns. Upper conductive patterns self-aligned with the lower conductive patterns are formed in the openings. | 2013-10-10 |
20130267089 | FILM FOR FILLING THROUGH HOLE INTERCONNECTS AND POST PROCESSING FOR INTERCONNECT SUBSTRATES - A method for filling through hole interconnects in a substrate used in the manufacture of electronic devices uses a film filler material. The film comprises a resin matrix filled with conductive and/or dielectric particles, and can be a single or multi-layer film. The method comprises providing a substrate for an electronic device having one or more through hole interconnects; providing a film comprising at least one film filler material for the through hole interconnects; deposing the film filler material over the substrate; and pressing the film filler material into the through hole interconnects. | 2013-10-10 |
20130267090 | METHOD TO CONTROL METAL SEMICONDUCTOR MICRO-STRUCTURE - A method of forming a metal semiconductor alloy that includes forming an intermixed metal semiconductor region to a first depth of a semiconductor substrate without thermal diffusion. The intermixed metal semiconductor region is annealed to form a textured metal semiconductor alloy. A second metal layer is formed on the textured metal semiconductor alloy. The second metal layer on the textured metal semiconductor alloy is then annealed to form a metal semiconductor alloy contact, in which metal elements from the second metal layer are diffused through the textured metal semiconductor alloy to provide a templated metal semiconductor alloy. The templated metal semiconductor alloy includes a grain size that is greater than 2× for the metal semiconductor alloy, which has a thickness ranging from 15 nm to 50 nm. | 2013-10-10 |
20130267091 | Process to remove Ni and Pt residues for NiPtSi application using Chlorine gas - The invention discloses a method for cleaning residues from a semiconductor substrate during a nickel platinum silicidation process. Post silicidation residues of nickel and platinum may not be removed adequately just by an aqua regia solution (comprising a mixture of nitric acid and hydrochloric acid). Therefore, embodiments of the invention provide a multi-step residue cleaning, comprising exposing the substrate to an aqua regia solution, followed by an exposure to a chlorine gas or a solution comprising dissolved chlorine gas, which may further react with remaining platinum residues, rendering it more soluble in aqueous solution and thereby dissolving it from the surface of the substrate. | 2013-10-10 |
20130267092 | Methods of Forming a Fine Pattern on a Substrate and Methods of Forming a Semiconductor Device Having a Fine Pattern - The inventive concept provides methods of manufacturing semiconductor devices having a fine pattern. In some embodiments, the methods comprise forming an etch-target film on a substrate, forming a first mask pattern on the etch-target film, forming a second mask pattern by performing an ion implantation process in the first mask pattern, and etching the etch-target film using the second mask pattern. | 2013-10-10 |
20130267093 | Through Substrate Via Semiconductor Components And Methods of Formation Thereof - A structure and method of forming through substrate vias in forming semiconductor components are described. In one embodiment, the invention describes a method of forming the through substrate via by filling an opening with a first fill material and depositing a first insulating layer over the first fill material, the first insulating layer not being deposited on sidewalls of the fill material in the opening, wherein sidewalls of the first insulating layer form a gap over the opening. The method further includes forming a void by sealing the opening using a second insulating layer. | 2013-10-10 |
20130267094 | PLASMA ETCHING METHOD AND PLASMA PROCESSING APPARATUS - A plasma etching method for plasma etching, in a processing chamber, an antireflection film laminated on an organic film formed on a substrate by using an etching mask made of a resist film formed on the antireflection film, the plasma etching method includes: depositing a Si-containing compound on the etching mask made of the resist film by using plasma of Si-containing gas in the processing chamber; and etching the antireflection film in a state where the Si-containing compound is deposited on the etching mask. | 2013-10-10 |
20130267095 | METHOD OF FABRICATING AND CORRECTING NANOIMPRINT LITHOGRAPHY TEMPLATES - A method of fabricating a nanoimprint lithography template includes installing a reticle on a reticle stage of scanning lithography equipment having a light source, the reticle stage and a template stage, mounting a template substrate on the template stage, and scanning the template substrate with light from the light source in an exposure process in which the light passes through the reticle and impinges the template substrate at an oblique angle of incidence. | 2013-10-10 |
20130267096 | Systems for and methods of laser-enhanced plasma processing of semiconductor materials - Systems for and methods of laser-enhanced plasma processing of semiconductor materials are disclosed. The method includes supporting a semiconductor material in a processing chamber interior and subjecting the semiconductor material to a plasma process. The method also includes simultaneously heating the wafer surface with a laser beam through a window in the processing chamber to increase the reaction rate of the plasma process. Other methods include performing laser heating of the semiconductor material before or after the plasma process but while the semiconductor material resides in the same chamber interior. | 2013-10-10 |
20130267097 | METHOD AND APPARATUS FOR FORMING FEATURES WITH PLASMA PRE-ETCH TREATMENT ON PHOTORESIST - A method for forming features through a photoresist mask into an underlying layer is provided. The photoresist mask has patterned mask features. The photoresist mask has patterned mask features. A treatment gas containing H | 2013-10-10 |
20130267098 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus is offered which has evacuable vacuum vessel, processing chamber disposed inside the vacuum vessel and having inside space in which plasma for processing sample to be processed is generated and in which the sample is placed, unit for supplying gas for plasma generation into processing chamber, vacuum evacuation unit for evacuating inside of processing chamber, helical resonator configured of helical resonance coil disposed outside the vacuum vessel and electrically grounded shield disposed outside the coil, RF power supply of variable frequency for supplying RF electric power in given range to the resonance coil, and frequency matching device capable of adjusting frequency of the RF power supply so as to minimize reflected RF power. The resonance coil has electrical length that is set to integral multiple of one wavelength at given frequency. The helical resonance coil has feeding point connected to ground potential using variable capacitive device. | 2013-10-10 |
20130267099 | CHEMICAL DISPENSING SYSTEM AND METHOD - A method and apparatus for dispensing a liquid etchant onto a wafer dispenses the liquid etchant onto a wafer using a scanning dispensing nozzle while controlling the dispensing temperature of the etchant in real time as a function of the radial position of the dispensing nozzle over the wafer. The dispensing temperature of the etchant is controlled to enhance the effectiveness of the etchant and thus compensate for the lower etching rate zones in the wafer. | 2013-10-10 |
20130267100 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS AND EVAPORATION SYSTEM - An amount of particles generated when a source material is used is suppressed. A substrate is loaded into a process chamber, and the source material is sequentially flowed into an evaporator, and a mist filter constituted by assembling a plurality of at least two types of plates including holes disposed at different positions to be evaporated and supplied into the process chamber to process the substrate, and then, the substrate is unloaded from the process chamber. | 2013-10-10 |
20130267101 | DEVICES, KITS, AND METHODS FOR SUPPLEMENTING RETAINING FORCES ON MATABLE DEVICES SUCH AS ELECTRICAL CONNECTORS - Kits, devices, and methods are provided for increasing a retaining force on a first device configured to mate with a second device to establish an electrical connection between the first and second devices. The first device can be, for example, a plug-type electrical connector ( | 2013-10-10 |
20130267102 | CONNECTOR - A connector mounted on a circuit board, and fitted to a mating connector, includes a contact and a housing that holds the contact, and is inserted in an inserted portion of the circuit board. The contact is press-fitted into the housing in a direction orthogonal to a direction of inserting the housing into the inserted portion, and is held therein. | 2013-10-10 |
20130267103 | CONNECTOR - A connector | 2013-10-10 |
20130267104 | ELECTRICAL CONNECTOR HAVING CONTACT FOR EITHER BGA OR LGA PACKAGE - An electrical connector for electrically connecting an IC package to a circuit board includes an insulating housing having a plurality of receiving holes and a plurality of contacts received in the receiving holes. Each of the contacts includes a retaining portion engaging with the insulating housing, and a spring arm deforming freely in a certain range, wherein the spring arm includes an elastic deformation portion, a recess portion protruding downwardly from the elastic deformation portion for contacting with a ball grid array (BGA) package and a protrusion portion protruding upwardly from the recess portion for contacting with a land gird array (LGA) package. | 2013-10-10 |
20130267105 | GROUND TERMINAL ASSEMBLY STRUCTURE - A ground terminal assembly includes ground terminals ( | 2013-10-10 |
20130267106 | SYSTEMS AND METHODS FOR EJECTING REMOVABLE MODULES FROM ELECTRONIC DEVICES - An electronic device may be provided with an ejector mechanism for at least partially ejecting a removable module (e.g., a SIM card tray) from the device. The ejector mechanism may include a user interface portion and a tray interface portion, and each interface portion may include a first end fixed to the device and a second end coupled to the second end of the other interface portion. Tension between the fixed first ends may bias the ejector mechanism to alternate between two ejector mechanism states when the ejector mechanism receives either a user input force to eject the removable module from the connector or a tray input force to insert the removable module into the connector. | 2013-10-10 |
20130267107 | CONNECTOR - A connector includes a pair of male and female connector housings to be fitted and connected with each other, and one of the pair of male and female connector housings includes a protrusion protruding in the fitting direction such that a distal end thereof is protruded more toward a rear side of other of the pair of male and female connector housings than a rear end of the other connector housing when the one connector housing is positioned at a fitting start position with the other connector housing, and a rod supporting hole as defined herein; the other connector housing includes a raised portion as defined herein and an inclined surface as defined herein; and after the connector housings are positioned at the fitting start positions, the rod-shaped member is pressed from the rod supporting hole onto the inclined surface, thereby fitting and connecting the connector housings. | 2013-10-10 |
20130267108 | CARD UNIT AND CARD EDGE CONNECTOR - A card unit comprises a substrate having a card edge section in which a plurality of card edge terminals connected to an opposing connector are formed on at least one surface, and a case formed as a bottomed box shape having an accommodating section in which the substrate is accommodated and an open section provided at one end; wherein a retainer is mounted to the case so as to close up the open section, the retainer is formed as a box shape having an opening at one end and a closed section disposed inside the case at the other end, and having a core section formed shorter than the length of the case; a groove section into which the card edge section protrudes is formed in the closed section; and the card edge section is covered by the core section of the retainer. | 2013-10-10 |
20130267109 | Coaxial Cable Connector with Strain Relief Clamp - Coaxial cable connectors with a strain relief clamp. In one example embodiment, a coaxial cable connector for terminating a coaxial cable is provided. The coaxial cable includes an inner conductor, an insulating layer surrounding the inner conductor, an outer conductor surrounding the insulating layer, and a jacket surrounding the outer conductor. The coaxial cable connector includes an inner conductor clamp configured to engage the inner conductor, an outer conductor clamp configured to engage the outer conductor, a strain relief clamp configured to exert a first inwardly-directed radial force against the coaxial cable, and a moisture seal configured to exert a second inwardly-directed radial force against the jacket. The first force is greater than the second force. | 2013-10-10 |
20130267110 | High Speed Flexible Printed Circuit Connector - A high speed flexible printed circuit (FPC) connector includes a housing with ground and signal contact terminal pairs arranged in the housing in a staggered manner along a lateral direction. The housing has a cavity for receiving an FPC board therein. Each ground contact terminal has an upper arm positioned adjacent to a top wall of the cavity and a lower arm positioned adjacent to a bottom wall of the cavity. Each signal contact terminal has only a lower arm positioned adjacent to the bottom wall of the cavity. Signal contact terminals with the only lower arm provide the connector with better signal integrity. An actuator is coupled to the housing for fixing the FPC board in the cavity to establish electrical connections. The actuator has recesses corresponding to the positions of the signal pairs to provide a dielectric constant different from that of the other parts of the actuator. | 2013-10-10 |
20130267111 | CONNECTOR FOR DIGITAL BAND - Disclosed is a connector for a digital band, which is attached to the digital band and is quickly and easily attachable to an external circuit. The connector for a digital band includes a body portion having one end attached to the digital band, and at least one conductive portion connected to the digital yarn, and an insertion portion attached to the other end of the body portion and having at least one land connected to the conductive portion and exposed to the outside. | 2013-10-10 |
20130267112 | POWER CONNECTOR ASSEMBLY AND ADAPTER PLUG WITH LOCKING MECHANISM - A power connector assembly includes an appliance plug and an adapter plug. The appliance plug has at two first contact terminals, wherein each of the first contact terminals has a perforation. The adapter plug includes a casing, a switching element, at least two second contact terminals, and at least two locking elements. Each of the locking elements has a protrusion part. When the switching element is located at a first position, the protrusion part of the locking element is accommodated within the perforation of the corresponding first contact terminal, so that the locking element is in a locked state. In the locked state, the first contact terminal and the corresponding locking element are coupled with each other and the first contact terminal and the corresponding second contact terminal are electrically connected with each other. | 2013-10-10 |
20130267113 | CONNECTING ELEMENTS FOR PRODUCING HYBRID ELECTRONIC CIRCUITS - A connecting system having a female element including a hollow flared part for receiving and guiding a male element and a hollow mating part for mating with the male element. A part to be mated of the male element has an outside diameter that before the mating is larger than an inside diameter of the mating part of the female element, and the part to be mated of the male element is made of a material that can be strained and has a corrugated transverse cross section, so as to contract when it is plugged into the mating part of the female element, and/or the mating part of the female connection element is made of a material that can be strained and has a corrugated transverse cross section, so as to dilate when the part to be mated of the male element is plugged into it. | 2013-10-10 |
20130267114 | CONNECTOR - A male connector housing has a first projection whose leading end projects backward beyond a rear end of a female connector housing when the male connector housing is placed at a location where the male connector housing starts to fit into the female connector housing, and a first recess that is formed in a leading end of the first projection so that a tip of another rod-shaped member can be inserted; wherein the female connector housing has a second projection that is provided so as to oppose the leading end of the first projection, and a first contact surface that an exterior surface of the middle portion of the rod-shaped member whose tip is inserted into the first recess can contact. The rod-shaped member is utilized as a lever for bringing the male connector housing and the female connector housing into a fit connection. | 2013-10-10 |
20130267115 | ACTIVELY COOLED ELECTRICAL CONNECTION - A method and electrical connection for providing electrical power is disclosed. The electrical connection comprises an electrical connector connected to an electrical conductor assembly. A current greater than a rated current capacity of at least one of the electrical connector and electrical conductor assembly may be passed through the electrical conductor assembly and electrical connector. The electrical connector and electrical conductor may be actively cooled with a flow of heat transfer medium flowing substantially along a length of the electrical conductor assembly and through the electrical connector to increase the current capacity of the electrical connection. | 2013-10-10 |
20130267116 | WALL OUTLET TYPE USB HUB WITH INDEPENDENT CHARGING FUNCTION - A wall outlet type USB hub with independent charging function includes a mounting case and a combined USB hub and socket unit. The mounting case includes a rear case portion for mounting on a wall and a front case portion assembled to a front opening of the rear case portion. The combined USB hub and socket unit includes a housing member held in the mounting case via retaining means and having multiple openings; a printed circuit board located inside the housing member and having a power module and a hub module; multiple data transfer ports electrically connected to the hub module and aligned with the openings on the housing member; and a power connector electrically connected to the power module. The wall outlet type USB hub matches general wall outlet's cover plate specification and can replace existing AC wall outlet to enable both data transmission and DC power supply functions. | 2013-10-10 |
20130267117 | Electrical Connector - An electrical connector comprising: a shell, zinc alloy shell body, front rubber core, rubber plug and wire; said zinc alloy shell body is provided with a holding groove penetrating from the front to rear ends; the front rubber core and the rubber plug are installed inside the zinc alloy shell body, and the shell set externally onto the zinc alloy shell body; the electric connector is provided with a hook unit, which penetrates the front rubber core, and is also coupled with the zinc alloy shell body; at the rear end of said hook unit, a locating part is molded, and also locked at the threading section of the wire. Compared with prior arts, the utility model features excellent air-tightness, anti-EMI capacity and compactness, thus improving the signal transmission capacity of the electric connector. | 2013-10-10 |
20130267118 | ELECTRICAL CONNECTOR - An electrical connector includes an insulating body, a plurality of signal terminals, a shielding member, and at least one grounding terminal. The insulating body is provided with at least one first receiving slot and a plurality of second receiving slots. The plurality of signal terminals is respectively received in the second receiving slots. The shielding member has at least one shielding plate disposed inside the insulating body. The at least one grounding terminal is respectively received in the first receiving slot. The grounding terminal has an elastic arm extending upwards and exposed out of the first receiving slot, and the elastic arm has a contact portion correspondingly electrically conducted to the upper shielding layer. The grounding terminal, the annularly disposed shielding plates, the upper shielding layer and the lower shielding layer jointly define a three-dimensional shielding space for the signal terminals. | 2013-10-10 |
20130267119 | ELECTROMAGNETIC INTERFERENCE SHIELD FOR QUICK DISCONNECT CONNECTOR - An electrical connector assembly is provided having an electromagnetic interference shield. The electrical connector assembly includes a backshell having a backshell bore and a shielding ferrule positioned within the backshell bore to provide an electromagnetic interference shield. The shielding ferrule includes an outer ferrule portion arranged circumferentially around an internal ferrule bore, and a shielding adapter received within the backshell bore of the backshell. The shielding adapter includes a cable extending longitudinally through the shielding adapter and the internal ferrule bore, the shielding adapter engaging the shielding ferrule such that axial movement of the shielding adapter towards the shielding ferrule radially compresses the shielding ferrule onto the cable. The shielding ferrule provides a substantially 360° electromagnetic interference shield around the cable. | 2013-10-10 |
20130267120 | PLUG WITH A PLURALITY OF CONTACTS CONNECTED TO A SUBSET OF CONTACTS IN A RECPTACLE AND A CIRCUITRY TO DETERMINE THE CONFIGURATION OF THE CONNECTED PLUG - An electronic device having a housing and a receptacle connector. The receptacle connector includes an opening at an exterior surface of the housing. A plurality of contacts are arranged in a two dimensional array positioned within the opening of the receptacle connector. The receptacle connector is configured to concurrently mate with multiple plug connectors where each mated plug connector electrically connects to different and mutually exclusive subsets of contacts in the plurality of contacts. Switching circuitry is coupled to the plurality of contacts and configured to detect when one or more plug connectors are mated with the receptacle connector and electrically connect circuitry within the electronic device to contacts in the one or more plug connectors via subsets of contacts from the plurality of contacts. | 2013-10-10 |
20130267121 | Electronic Connector - An electronic connector comprising a metal enclosure, a rubber core, a rubber plug component and a cord. The rubber core and the rubber plug component are held inside the metal enclosure. The rear face of the metal enclosure is configured with a hole for the ingoing section of the cord to go through. The rubber core is configured with a raised bar along its front face and extending to the direction of the front end of the metal enclosure. The front end of the metal enclosure is formed with a limit stop to match the rubber core. | 2013-10-10 |
20130267122 | CONTACT AND CONNECTOR WITH CONTACTS - A connector is provided to press-fit terminals in terminal-press-fitting holes of a housing, and electric contact parts of contacts are aligned in respective terminal-alignment grooves in the housing. A pair of concave pressed parts and a pair of curved convex parts are formed on opposite surfaces of the press-fit fixing part, respectively. The pair of concave pressed parts and the pair of curved convex parts are provided on opposite lateral ends of the press-fit fixing part with a predetermined gap to each remain a non-deformed part between the pair of concave pressed parts and between the pair of curved convex parts to be flat so that a first area of the second surface on which the non-deformed part is remained is flush with a second area continuous to the first area in the longitudinal direction of the press-fit fixing part. | 2013-10-10 |
20130267123 | CONNECTOR WITH REINFORCED STRUCTURE - A connector includes: a terminal including a box part which covers a terminal-contact spring, a crimped part which holds a core wire, and a concave part formed between the box part and the crimped part; and a housing integrally including an insertion port from which the terminal is inserted, an accommodation chamber in which the terminal is accommodated, an band which constitute a part of the accommodation chamber and is put into a double end-supported state so that the elastic band is pressed and elastically deformed by the box part of the terminal when the terminal is inserted in the housing from the insertion port, a lance which protrudes from an intermediate part of the band to engage the concave part of the terminal, and a reinforcement part which protrudes from the lance toward a bottom side of the housing to enter in the concave part of the terminal. | 2013-10-10 |
20130267124 | ELECTRICAL CONNECTOR HAVE A GROUNDING TERMINAL WITH A TONGUE FOR IMPROVING MECHANICAL STABILITY - An electrical connector electrically connecting a chip module to a printed circuit board includes an insulative housing that a number of contacting terminals and a number of grounding terminals received therein, the insulative housing includes a mating surface and a mounting surface opposite to the mating surface, the grounding terminal is adjacent to the contacting terminal, and wherein the insulative housing also comprises a plurality of retention slots depressed from the matching surface thereof for fixing a retention portion of the grounding terminals, the retention slot has a sidewall that plated with a metal layer, the retention portion comprises a tongue and elastic contacted with the metal layer. | 2013-10-10 |
20130267125 | ELECTRICAL CONNECTOR - An electrical connector includes an insulative housing and a number of conductive terminals held in the housing. The insulative housing has a base portion and a tongue portion extending forwardly from the base portion. Each of the conductive terminals includes a contacting portion,and a soldering portion extending outside of the housing. The terminals comprise grounding terminals and differential signal pairs. The soldering portions of each of differential signal pairs is located between two adjacent soldering portions of the grounding terminals. | 2013-10-10 |
20130267126 | CONNECTOR ASSEMBLY - A connector assembly includes a connector plug and a connector socket. The connector plug includes an outer surface which includes a first portion and a second portion. The first portion includes a plurality of first plug signal transmitting pins. The second portion includes a plurality of second plug signal transmitting pins. The connector socket includes an inner surface. The inner surface is divided into a first area and a second area by a first insulating portion. The first area includes a plurality of first socket signal transmitting pins. The second area includes a plurality of second socket signal transmitting pins. The connector plug inserts in the connector socket to connect the plurality of first plug signal transmitting pins to the plurality of first socket signal transmitting pins, and simultaneously connect the plurality of second plug signal transmitting pins to the plurality of second socket signal transmitting pins. | 2013-10-10 |
20130267127 | ELECTRICAL CONNECTION PLUG FOR MULTIPOLAR LEAD OF ACTIVE IMPLANTABLE MEDICAL DEVICE - A plug includes a pin having an axial contact at its opposite end with a mounting rod connected to a center conductor. At the interface between the pin and the inner wall of the plug body, a support sleeve mounted on the shaft and bearing axially against a collar formed on said rod is provided. Axially, a locking ring is secured to the shaft so that the support sleeve is clamped between the collar of the rod and the locking ring, if necessary, leaving a degree of freedom of rotation between the sleeve and the pin. Radially, the outer surface of the sleeve comes into direct contact with the inner wall of the bore of the plug body, to which it is directly secured. The direct attachment of the sleeve to the plug body may be obtained by a snap-in connection with notches cooperating with a counterpart groove. | 2013-10-10 |
20130267128 | POWER PLUG HAVING SOLERING TAILS OF A CONTACT TERMINAL AND A SLEEVE TERMINAL PROJECTING OUTSIDE A BODY - A power plug includes a base body which has a mating pillar and two propping flanks oppositely extending outward from a bottom end of the mating pillar, an electrical terminal inserted downward in the mating pillar, a sleeve terminal having a contact sleeve put around the mating pillar, and a fastening member defining an assembling hole through which the mating pillar with the contact sleeve passes to make the fastening member abut on the propping flanks. Then the fastening member and the propping flanks are fixed together. A positioning gap forms at the joint of the propping flanks. The electrical terminal has a soldering plate projecting downward out of the bottom end of the mating pillar. A soldering tail protrudes downward from a bottom edge of the contact sleeve to be positioned in the positioning gap, with a bottom thereof projecting under the base body. | 2013-10-10 |
20130267129 | POWER PLUG HAVING A TERMINAL WITH SOLDERING ARMS CLAMPING A SOLDERING TAIL OF ANOTHER TERMINAL - A power plug includes a base body having a mating pillar, a first electrical terminal which has a connecting board and two first contact arms extending upward from two ends of a top edge of the connecting board and inclined toward each other, a second electrical terminal which has a connecting portion and two second contact arms extending upward from two ends of a top of the connecting portion and inclined toward each other, and a sleeve terminal having a contact sleeve put around the mating pillar. The first electrical terminal and the second electrical terminal are crosswise assembled in the mating pillar to make the first contact arms and the second contact arms provide elastic clamping forces from four directions. So, it effectively increases insertion and extraction forces between the power plug and a mating socket, and further prolongs the useful life of the power plug. | 2013-10-10 |
20130267130 | NEUTRAL BAR INCLUDING FASTENERS HAVING A ROUNDED END WITH A HEMISPHERICAL SURFACE - A neutral bar includes a plurality of neutral bar fasteners, each of the neutral bar fasteners has a first end, a rounded second end with a hemispherical surface opposite the first end, and a threaded shaft therebetween. An elongated, generally rectangular member includes a plurality of tapped openings and a plurality of cylindrical openings. Each of the cylindrical openings is normal to a corresponding one of the tapped openings. The threaded shaft of each of the neutral bar fasteners is threaded into a corresponding one of the tapped openings of the elongated, generally rectangular member. Each of the cylindrical openings is structured to receive a stranded conductor having a plurality of individual solid conductors. The hemispherical surface of each of the neutral bar fasteners is structured to engage the stranded conductor within a corresponding one of the cylindrical openings. | 2013-10-10 |
20130267131 | CONNECTOR - A connector adapted to be disposed in a mobile device to electrically connect with a signal terminal of an electronic device is provided. The connector includes a casing, a tube, a pin and a terminal set. The casing has a first side, a second side and a through hole, and the tube is disposed in the second side. The pin has a first end and a second end. The first end is slidably disposed in the through hole and the second end protrudes out of the tube. The terminal set includes a first terminal and a second terminal, and the second terminal is movably connected with the first terminal. When the mobile device is assembled to the electronic device, the signal terminal of the electronic device leans against the pin, so that the pin leans against the first terminal to separate the first terminal and the second terminal. | 2013-10-10 |
20130267132 | AMPHIBIOUS VEHICLE POWER TRAINS - An amphibious vehicle power train having an engine ( | 2013-10-10 |
20130267133 | Large Outboard Motor for Marine Vessel Application and Related Methods of Making and Operating Same - An outboard motor for a marine vessel application, and related methods of making and operating same, are disclosed herein. In at least one embodiment, the outboard motor includes a horizontal-crankshaft engine in an upper portion of the outboard motor, positioned substantially positioned above a trimming axis of the outboard motor. In at least another embodiment, first, second and third transmission devices are employed to transmit rotational power from the engine to one or more propellers at a lower portion of the outboard motor. In at least a further embodiment, the outboard motor is made to include a rigid interior assembly formed by the engine, multiple transmission devices, and a further structural component. In further embodiments, the outboard motor includes numerous cooling, exhaust, and/or oil system components, as well as other transmission features. | 2013-10-10 |
20130267134 | Large Outboard Motor for Marine Vessel Application and Related Methods of Making and Operating Same - An outboard motor for a marine vessel application, and related methods of making and operating same, are disclosed herein. In at least one embodiment, the outboard motor includes a horizontal-crankshaft engine in an upper portion of the outboard motor, positioned substantially positioned above a trimming axis of the outboard motor. In at least another embodiment, first, second and third transmission devices are employed to transmit rotational power from the engine to one or more propellers at a lower portion of the outboard motor. In at least a further embodiment, the outboard motor is made to include a rigid interior assembly formed by the engine, multiple transmission devices, and a further structural component. In further embodiments, the outboard motor includes numerous cooling, exhaust, and/or oil system components, as well as other transmission features. | 2013-10-10 |
20130267135 | RECREATIONAL FLOTATION DEVICE - A recreational floatation device is disclosed. In one example, the recreational floatation device comprises a main body having a front portion and members extending substantially parallel from the front portion, a seat portion attached to the main body between the two parallel members and adjacent to the front portion, for receiving and supporting a user of the recreational flotation device in a seated portion, and a floating board removably attachable to said main body, when positioned between the parallel members. | 2013-10-10 |
20130267136 | STRUCTURAL HYBRID ADHESIVES - Adhesive compositions are provided comprising: a) a base resin comprising an epoxy resin; b) a first epoxy curative; and c) a second epoxy curative; wherein the first and second epoxy curatives are chosen such that the second epoxy curative may remain substantially unreacted in the composition under conditions of temperature and duration that render the first epoxy curative substantially reacted with epoxy resin in the composition. In some embodiments, the first epoxy curative is substantially reacted with epoxy resin in the composition and the second epoxy curative is substantially unreacted in the composition. In some embodiments, the adhesive composition is used in the form of an adhesive film. | 2013-10-10 |
20130267137 | POLYMERIC MESH PRODUCTS, METHOD OF MAKING AND USE THEREOF - A polymeric mesh is disclosed. The polymeric mesh comprises an absorbable polymeric fiber and a non-absorbable polymeric fiber knitted together to form an interdependent, co-knit mesh structure. Also disclosed are methods for making the polymeric mesh and methods for using the polymeric mesh. | 2013-10-10 |
20130267138 | POLYOLEFIN DISPERSION TECHNOLOGY USED FOR POROUS SUBSTRATES - A method of forming an article that includes applying an aqueous dispersion to a porous substrate, wherein the aqueous dispersion includes a thermoplastic polymer, a dispersing agent, and water. The method includes removing at least a portion of the water, to result in an article formed that is breathable. | 2013-10-10 |
20130267139 | POLYESTER YARN AND PRODUCTION METHOD THEREOF - Disclosed is a polyester yarn that can be used in a fabric for an airbag. In particular, a polyester yarn having a diethylene glycol content of 1.1 to 2.5 wt % and initial modulus of 100 g/d or less, a production method thereof, and a fabric for an airbag produced therefrom are disclosed. The polyester yarn has excellent moisture and heat resistance and light resistance, and maintained excellent mechanical properties after long-term aging under high temperature and high humidity conditions. Therefore, when applied to a fabric for an airbag, the polyester yarn provides excellent packing property, shape stability, and gas barrier effect, and the impact applied to a passenger is minimized, thereby safely protecting the passenger at the same time. | 2013-10-10 |
20130267140 | FLAME RESISTANT FABRIC FOR PROTECTIVE CLOTHING - The fabric of the invention is a flame resistant fabric for use in personal protective clothing which provides a high level of comfort, protection from flames and other heat sources such as electric arc and liquid metal splash characterized in that it is made from a yarn, which is an intimate blend of FR cellulosic fibers With high temperature resistant polymer fibers and standard flammable synthetic fibers. | 2013-10-10 |
20130267141 | FOOT MAT OF AUTOMOBILE - A foot mat is formed by combining at least a topmost top sheet layer, a fibrous material layer, and a foamed material layer. The top sheet layer is made of thermoplastic polyurethane that is prepared with the constituent components including 10-84% polyol, 15-55% MDI, and 1-75% 1,4BG and shows properties of wear resistance, bending resistance, oil staining resistance, and corrosion resistance and has excellent machinability. Thus, the foot mat so made shows excellent advantages of being not damaged by scratching, improved durability, resistance against staining caused by oil and dust, and being easy to clean. | 2013-10-10 |
20130267142 | WET-LAID NONWOVEN FABRIC FOR SEMIPERMEABLE MEMBRANE SUPPORTING BODY, METHOD FOR PRODUCING SAID WET-LAID NONWOVEN FABRIC, AND METHOD FOR IDENTIFYING LOW-DENSITY DEFECT OF WET-LAID NONWOVEN FABRIC - A uniform semipermeable membrane supporting body which does not cause any defect in the semipermeable membrane coating layer when a semipermeable membrane coating liquid is applied, and is free of low density defects. The wet-laid nonwoven fabric for a semipermeable membrane supporting body according to the present disclosure contains a synthetic fiber as a main constituent fiber, has been subjected to hot press processing, has a pressure loss at a face velocity of 5.3 cm/second of from 50 Pa to 3000 Pa and a sheet density of 0.5 g/cm | 2013-10-10 |
20130267143 | METHOD OF JETTING A LIQUID CRYSTAL, LIQUID CRYSTAL JETTING APPARATUS FOR PERFORMING THE METHOD AND METHOD OF MANUFACTURING A LIQUID CRYSTAL PANEL USING THE APPARATUS - A method of jetting a liquid crystal includes loading a substrate on a stage, controlling a surface temperature of an inkjet head and a substrate to be a setting temperature, and jetting the liquid crystal molecules on the substrate having the setting temperature. | 2013-10-10 |
20130267144 | Convertible Stuffed Toy - A stuffed toy 11 convertible to another stuffed toy, or a cushion 13. The stuffed toy 11 has a first shape formed by a first shell 35 and a second shape formed by a second shell 41, the shells being joined by a zip fastener 47 to form a contiguous hollow structure when unzipped. The structure contains a flowable stuffing that may be manipulated between the first shell 35 and the second shell 41. The stuffing can be entirely contained with the second shell 41, within the first shell 35 and the zip fastener 47 is done up to form the stuffed toy 11. By undoing the zip fastener, the stuffing can be manipulated to be entirely contained within the second shell 41 with the first shell 35, within the second shell 41, and the zip fastener 47 done up to form the other stuffed toy or cushion 13. | 2013-10-10 |
20130267145 | MAGNETIC MODULE AND CONSTRUCTION KIT - A toy construction kit has a plurality of magnetic modules, each with a housing having a plurality of sides, each side having an internal hollow. A magnet is contained within each of the hollows at a given polar orientation relative to the housing and the hollow. The hollow has dimensions that permit the magnet to move within the hollow, but substantially constrains the magnet to the given polar orientation relative to the housing. When a side of a module is placed near a side of another module, they are bound by magnetic attraction by the respective aligned magnets, either because the polar orientations are opposite when they are initially juxtaposed or due to shifting of one or both magnets in their respective hollows to achieve relative polar opposition. | 2013-10-10 |
20130267146 | MOLDABLE SAND COMPOSITIONS AND METHODS FOR MAKING THE SAME - The present invention relates to moldable sand compositions, methods for making the moldable sand compositions, and methods for using the moldable sand compositions. In a particular embodiment, the moldable sand composition comprises between about 70% to about 95% sand, between about 5% to about 30% water, a polar polymeric resin, a crosslinking agent, and a humectant. In an exemplary embodiment, the composition contains no oils, waxes, glycols, or rubbers. The composition is capable of becoming hardened over time in order to maintain the achieved shape, and can be re-wetted and molded again after becoming hardened. | 2013-10-10 |
20130267147 | BREAST CUSHION AND PRODUCTION METHOD THEREOF - A bra cup shaped breast cushion comprises a first impermeable membrane layer ( | 2013-10-10 |
20130267148 | RUN-TO-RUN CONTROL FOR CHEMICAL MECHANICAL PLANARIZATION - A method for fabricating an integrated circuit includes providing a partitioned chemical-mechanical planarization (CMP) model having a plurality of model parameters that include (i) device specific model parameters and (ii) at least one common parameter. (i) include a pre-CMP thickness of a film including a first material on an in-process device, a post-CMP target thickness for the film on the in-process device, and device group properties that account for device structure for the in-process device. (ii) includes a polish rate from an unpatterned pilot wafer having a second material thereon. The second material need not be the same as the first material. The polish time is automatically determined using the partitioned CMP model. A CMP process is performed on a patterned product wafer having a plurality of the in-process devices using a recipe including the polish time. | 2013-10-10 |
20130267149 | SANDING SYSTEM WITH VACUUM - A sanding system suitable for drywall sanding has a foam sanding block that fits into a hollow housing such that a gap is left around most of the perimeter of the sanding block and the housing near the plane of sanding. Some regions of the perimeter are dimensioned relative to the sanding block such as to not leave a gap but instead are such as to provide a friction fit between housing and sanding block. | 2013-10-10 |
20130267150 | METHOD FOR ABRADING A PRODUCT USING VERY LOW PACKING DENSITY CERAMIC ABRASIVE GRITS - Producing and using very low packing density ceramic abrasive grits comprising various fused aluminum oxide materials with or without other oxide additives, fused aluminum oxide-zirconium oxide co-fusions with or without other oxide additives, or sintered sol gel aluminum oxide materials with or without other oxide additives where the ceramic abrasive grains are preferably made by crushing bubbles of the material. | 2013-10-10 |
20130267151 | GLASS-PLATED WORKING MACHINE - A glass-plate working machine | 2013-10-10 |
20130267152 | ABRASIVE WATER JET NOZZLE AND ABRASIVE WATER JET MACHINE - Provided is an abrasive water jet nozzle comprising a mixing chamber for absorbing the abrasives with air through a supply port; a water nozzle; a mixing nozzle disposed on the downstream side against the water nozzle, to mix the abrasives in a water jet jetted out of the water nozzle, and to jet the water jet into the mixing chamber out of a through hole of the mixing nozzle; and an abrasive nozzle disposed on the downstream side against the mixing nozzle, to introduce the water jet thereinto to jet an abrasive water jet. A diameter of the through hole is larger than that of the water nozzle so that the abrasives in the mixing chamber are absorbed with air in a clearance between the through hole and the water jet passing through the through hole to mix the abrasives in the water jet. | 2013-10-10 |
20130267153 | GRINDING MACHINE - The grinding machine ( | 2013-10-10 |