31st week of 2010 patent applcation highlights part 70 |
Patent application number | Title | Published |
20100199184 | PRIORITIZING VITALITY EVENTS IN A SOCIAL NETWORKING SYSTEM - A social networking system is configured to enable users in the system to prioritize vitality events in their respective vitality streams. For instance, a user may designate that at least one specified prioritization action is to be performed for any vitality event having one or more characteristic(s) specified by the user. Accordingly, when a vitality event having the one or more specified characteristic(s) is associated with the user, the at least one specified prioritization action is performed with respect to that vitality event. | 2010-08-05 |
20100199185 | COMMON NAVIGATION MECHANISM FOR DESKTOP AND BROWSER-BASED APPLICATIONS - A client application provides a user interface for defining a form that includes a navigation control. The navigation control includes two or more selectable tabs that are each associated with a target, such as another form or a report. When one of the selectable tabs on the navigation control is selected using an appropriate user input device, the target associated with the selected tab is displayed in the form. The client application also provides functionality for publishing a form including navigation controls to a server application, thereby making the form and the navigation control accessible through a Web interface. | 2010-08-05 |
20100199186 | METHODS AND SYSTEMS FOR INTERACTIVE EVOLUTIONARY COMPUTING (IEC) - Methods and systems for interactive evolutionary computing may include generating a set of candidate molecules based on an evolutionary scheme in which an objective function is a priori mathematically unexpressed, presenting data based on the set of candidate molecules to one or more users, receiving at least one input from the user(s), the input(s) based on the user(s)'s evaluation of the presented set of candidate molecules, and, based on the input(s), using at least the evolutionary scheme and the input(s) to generate an updated set of candidate molecules, and repeating the presenting and receiving. | 2010-08-05 |
20100199187 | INSTANT DATA SHARING SYSTEM AND MACHINE READABLE MEDIUM THEREOF - An instant data sharing system is provided. The system comprises a user interface (UI) module, an instant communication module and a data sharing module. The UI module comprises a communication window and a presentation window and is used for operating the data sharing system by a user. The instant communication module establishes an instant communication with at least one remote host via a network, wherein the user performs the instant communication with the remote host via the communication window. The data sharing module is used for selecting a shared data, displaying the shared data on the presentation window and transmitting the shared data to the remote host. The data sharing module selects an encoding operation module to encode the shared data according to a type of the shared data, and transmits the encoded shared data to the remote host through a transmission module. | 2010-08-05 |
20100199188 | Auto-discovery of diverse communications devices for alert broadcasting - Methods and systems for communicating messages to target communications devices in a target physical location are disclosed. Network sources are queried for logical locations accessible to the network source. A specification of a physical location associated with each logical location is received. Each logical location specification is stored in a data store in association with the associated physical location specification. A specification of the target physical location is received. Those logical location specifications associated with the target physical location are retrieved from the data store, thereby identifying target logical locations. For each target logical location, at least one of the network sources accessible to that logical location is queried for specifications of communications devices accessible to that logical location, thereby identifying the communications devices in the target physical location. The message is communicated to the target communications devices in the target physical location via at least one of the target logical locations. | 2010-08-05 |
20100199189 | APPARATUS AND METHOD FOR TARGET ORIENTED LAW ENFORCEMENT INTERCEPTION AND ANALYSIS - An apparatus and method for capturing, processing and analyzing multiple communication items, related to a hierarchy consisting of cases, sub-cases, targets or interception criteria associated with a law enforcement organization. The apparatus and method allow a user to define the hierarchy, receive and process communication items, display the content, meta data, external or additional data related to the communication items or to a target. The apparatus comprises one or more front end units responsible for filtering and capturing communications, and one or more back end units which allow the processing and presentation of the communications. | 2010-08-05 |
20100199190 | SYSTEM AND PROCESS FOR PROVIDING DYNAMIC COMMUNICATION ACCESS AND INFORMATION AWARENESS IN AN INTERACTIVE PERIPHERAL DISPLAY - The system and method of the present invention provides peripheral awareness of information to a user. Once the information to be provided is determined or specified, it is automatically tracked or watched via at least one conventional communications interface for accessing one or more conventional communications sources. Current information is then automatically dynamically provided in an interactive peripheral display which minimizes any potential distraction to the user. The tickets are sharable among users, and may be copied, cut, pasted, saved, transmitted, dragged and dropped from web pages, etc., like any other electronic file using conventional techniques. | 2010-08-05 |
20100199191 | COLLABORATIVE WORK APPARATUS AND METHOD OF CONTROLLING COLLABORATIVE WORK - A collaborative work apparatus includes: a display unit that simultaneously displays work contents edited or referenced by a plurality of users and cursors of a plurality of the users on a display screen; a cursor manipulation input unit that inputs a manipulation signal for each cursor; a cursor manipulation control unit that sets one or more manipulation areas on the display screen and executes control of cursor locations of each of the users on the display screen and control of granting/removing manipulation authority over each manipulation area to/from any one of the users in response to the cursor manipulation signal input from the user through the cursor manipulation input unit; and manipulation authority management unit that manages manipulation authority information representing which user has the manipulation authority over which manipulation area in response to the control of granting/removing the manipulation authority by the cursor manipulation control unit. | 2010-08-05 |
20100199192 | Managing Information About Relationships in a Social Network via a Social Timeline - A system, method, and computer program for generating a social timeline is provided. A plurality of data items associated with at least one relationship between users associated with a social network is received, each data item having an associated time. The data items are ordered according to the at least one relationship. A social timeline is generated according to the ordered data items. | 2010-08-05 |
20100199193 | CLIENT-SIDE SIMULATED VIRTUAL UNIVERSE ENVIRONMENT - An invention that provides a client-side simulated virtual universe environment is provided. In one embodiment, there is a simulation tool, including an analysis component configured to identify whether a server-side virtual universe is available; and a construction component configured to provide a client-side simulated virtual universe environment in the case that the server-side virtual universe is unavailable. | 2010-08-05 |
20100199194 | Configurable Toolbar - Systems and methods are provided that render a configurable toolbar. At runtime, a toolbar configuration file is loaded including a toolbar definition and at least one button definition. A rendering module renders a toolbar based on the toolbar configuration file. The toolbar configuration file is editable by an end-user, thus allowing the end-user to configure properties of the toolbar and buttons without knowledge of computer code or recompilation. | 2010-08-05 |
20100199195 | Adaptive Rendering Of A Webpage On An Electronic Display Device - Disclosed herein is a method and system for rendering a web page on an electronic display device in a visual presentation format based on preferences of a user. An embedded browser application is provided on the electronic display device. The embedded browser application identifies alterable display attributes of elements on the web page and presents display options corresponding to the identified display attributes to the user. The user selects the presented display options based on preferences of the user. The embedded browser application modifies the identified display attributes of the web page elements by applying adaptive rendering rules on the identified display attributes. The embedded browser application creates the visual presentation format by incorporating the modified display attributes of the web page elements. The embedded browser application renders the web page adaptively on the electronic display device in the created visual presentation format. | 2010-08-05 |
20100199196 | Method for delivering graphic intensive web type content to thin clients - A method and apparatus to provide graphic intensive web type content to a microprocessor base terminal that includes a screen and input device(s), herein after referred to as a thin client, with a fast refresh rate. To achieve this, content is preprocessed into a picture type of web like page, such as JPEG, specific for each type of client. Additionally, this method requires the thin client to be attached to content server over a high speed network connection or has a fast connection to locally stored content. | 2010-08-05 |
20100199197 | SELECTIVE CONTENT TRANSCODING - A selective transcoding system makes creating mobile versions of websites more automated with results closer to the look and feel of the original website and modified to account for mobile access patterns. The system gives control over the transcoding process to the content author with the result typically accessible through a standard URL. Selective transcoding employs a layer of customizable transcoding proxy servers between the source website and mobile users for improving the presentation of existing web content. Content authors can perform customization of the proxy through controls at selective transcoding web portal. When a mobile user visits the selective transcoding proxy, the user sees the blocks selected by the web designer updated with newest content and transcoded for the user's mobile device. Thus, the selective transcoding system provides a better mobile experience. | 2010-08-05 |
20100199198 | LAYOUT OF USER INTERFACE ELEMENTS - User interface frameworks may provide an environment for developers to design and arrange user interface elements within a design layout to create a user interface. Many times UI elements comprise non-integer size values (e.g., height, width, position, etc.) due to text, user specified data, and/or centering, for example. Rendering UI elements at non-integer size values may create display artifacts because of sub-pixel rendering. Accordingly, as provided herein, a set of rules may be applied during design layout to non-integer size values to create integer size values (e.g., non-integer size values may be rounded to the nearest integer). Using integer size values in arranging UI elements within a design layout, allows undesirable display artifacts to be mitigated during rendering. | 2010-08-05 |
20100199199 | Manipulation of Window Controls in a Popup Window - Systems and methods are provided that manipulating popup window controls. A popup window includes a presentation applet running in the popup window that collects data regarding the presentation. In order to save data collected by the applet before the popup window is closed, a control container embedded in the popup window disables the close window button of the popup window. The control container also maximizes the popup window to full screen for a more functional user interface. | 2010-08-05 |
20100199200 | Virtual Marketplace Accessible To Widgetized Avatars - A system for performing online commerce within a virtual marketplace is disclosed. The system includes computer programming resident on at least one networked server, wherein the computer programming provides an online marketplace having at least one virtual storefront. A user access to the online marketplace includes a user-directed, computer programmable avatar, and further includes a computing device communicatively connected to the at least one networked server. An operator of the at least one virtual storefront provides an auction transaction for at least one item with the user directed avatar. | 2010-08-05 |
20100199201 | SYSTEM AND METHOD FOR DISPLAYING A DISPLAY PANEL - A method and system for displaying a display panel are provided. The system includes an image input module and an image display module. The image input module is adapted to obtain an image file selected by a user and parse the image file into image data; the image display module is adapted to display the image data obtained by the image input module on the display panel. | 2010-08-05 |
20100199202 | Selecting Channels of a Data Set for Visibility - Selecting channels of a data set for visibility. A first user input specifying a data set may be received. A plurality of channels of the data set may be displayed in a first portion of a display in response to the first user input. A second user input selecting one or more channels of the data set in the first portion of the display may be received. The second user input may specify that the first one or more channels are always visible in the first portion of the display. The one or more channels may always be visible in the first portion of the display in response to the second user input. | 2010-08-05 |
20100199203 | Apparatus for Displaying and Managing System Parameters and Data Pertaining to a Dental Treatment Station - For an apparatus for displaying and managing system parameters and data from a dental treatment station having connecting means for connecting the apparatus to a display for displaying the system parameters or data and having communication means for data interchange with the dental treatment station, provision is made for the communication means to check data relating to the current operating state of the treatment station at regular intervals. | 2010-08-05 |
20100199204 | METHOD AND AN APPARATUS FOR DECODING AN AUDIO SIGNAL - The present invention relates to an apparatus for processing an audio signal and method thereof. The present invention includes a receiving unit receiving a downmix signal comprising plural objects, a bitstream including object information and level guide information, and a graphical user interface receiving a user input for controlling level for at least one object among the plural objects, and displaying a representation corresponding to the level guide information, wherein the object information is determined when the downmix signal is generated, the level guide information indicates rendering limit for at least one object of the plural objects, the representation includes a non-recommended rendering region representing the rendering limit and a recommended rendering region representing rendering range except for the rendering limit. | 2010-08-05 |
20100199205 | SYSTEM AND PROCESS FOR PRESENTING SEARCH RESULTS IN A HISTOGRAM/CLUSTER FORMAT - A user interface system and process for graphically displaying the results of a standard search, such as a database search, to a user on a display device via an interactive search results window in which the user views and filters search results items. These results can be presented in either a cluster or histogram format, or both. This allows the user to visualize the results graphically, rather than just displaying a list of the items found. In addition the presentation of the search results can be graphically manipulated to easily filter the results as desired by the user. | 2010-08-05 |
20100199206 | WEB BROWSER WITH MULTILEVEL FUNCTIONS - A architecture is provided to enable a client web browser to conduct and manage a multilevel search. The browser includes an application layer interface. The application layer interface is for coupling to network via a protocol stack. The browser also includes a markup language parser. The parser receives information from the application layer interface. The browser also includes a graphical user interface for interacting with a user. The graphical user interface allows a user to specify a set of multilevel search parameters. The browser also includes a markup language processor that receives input from the markup language parser and multilevel search parameters from the graphical user interface. The markup language processor conducts and manages a multilevel search to find specified information on a current web page or a web page referenced by the current web page in accordance with a parameterized search tree. The present invention also enables an automatic processes to print sets of linked web pages and to generate sitemaps, filtered sitemaps, filtered results pages in accordance with user specifications. | 2010-08-05 |
20100199207 | METHOD, DEVICE AND USER INTERFACE FOR CONTROLLING THE ACQUISITION OF MEASUREMENT DATA IN A MEDICAL IMAGING DEVICE - In a method for controlling the recording of measurement data in a medical image acquisition device, in particular a magnetic resonance device, having a control device and an operating device having a display device for displaying a user interface that comprises a navigation area and a content area, when a step is selected in the operating sequence of the acquisition of the measurement data in the navigation area of the user interface, an item of status information of the step is determined by the control device, as a function of the item of status information, at least one status-specific item of information and/or at least one status-specific operating element is shown in the content area. | 2010-08-05 |
20100199208 | INFORMATION DISPLAY DEVICE - An information display device having an operability-improved trackball is provided. A control unit has a mechanism for displaying in such a manner that a second information display region is provided separately from a first information display region, an operation button region is arranged and displayed in a region between them, the second information display region is set as a cursor display prohibition region, and even if the operation is vigorously executed by operating the trackball, a cursor does not enter the second information display region but the cursor stops in the operation button region and one of the operation buttons in the operation button region is selected. | 2010-08-05 |
20100199209 | ITEM SETTING APPARATUS, CONTROL METHOD AND CONTROL PROGRAM FOR THE SAME - An item setting apparatus for changing over a screen corresponding to a desired tab by selecting the desired tab from plural tabs and setting items displayed on the screen based on a user's operation, comprises an item information holding section to hold item information about indication of items of plural tabs having plural items; a group information holding section to separate items into plural groups and hold group information about that to which group each item belongs; a display item editing section to edit items displayed on a screen of a tab; and a tab display control section to control to display items correctively by a group unit on a screen of a tab selected by a user by using the group information. | 2010-08-05 |
20100199210 | Item Setting Device, Control Method and Control Program for the Device - The editable tab selectably displayed as well as the fixed tabs is selected by the user, whereby an editable tab screen is created and displayed using the display item information on which item should be displayed on the editable tab screen, the display position information on the position of the item to be displayed on the editable tab screen, and the item information of item included in the fixed tab. | 2010-08-05 |
20100199211 | ITEM SETTING DEVICE, CONTROL METHOD AND CONTROL PROGRAM FOR THE DEVICE - The display information is compared with the displayed information on what items are already displayed at what positions on the editable tab screen capable of editing the items stored therein, wherein the aforementioned display information includes the display item information on which of the items contained in the fixed tabs are to be displayed on the editable tab screen and the display position information on the positions of the items to be found on the editable tab screen. If a change has been discovered, a step is taken to determine the method of change processing for displaying the editable tab screen according to the result of comparison. The editable tab screen selected by the user is displayed according to the method of change processing based on the result of comparison. | 2010-08-05 |
20100199212 | Operating Element For Display-Supported Technical Systems - The invention relates to an operating element for technical systems in vehicles, comprising four separately operable key elements ( | 2010-08-05 |
20100199213 | MAP DISPLAY SYSTEM, MAP DISPLAY DEVICE, AND MAP DISPLAY METHOD - When a map display system acquires first map data on an area of a predetermined range with a first display scale, the map display system also acquires second map data on an area of a larger range including the predetermined range with a second display scale at the same time. When the acquired first map data on the area of the predetermined range becomes insufficient since a first map displayed on a display means is scrolled, a map obtained by changing the display scale of the second map data to the first display scale is displayed. This provides the map display system which is controlled so as to efficiently acquire map data suitable for a communication type navigation system. | 2010-08-05 |
20100199214 | DISPLAY CONTROL APPARATUS AND DISPLAY CONTROL METHOD - A display control apparatus controls to execute variable magnification processing of a partial image of an image indicated by a display range, and to display the partial image in a display area. The display control apparatus comprises a calculation unit which obtains a vertex/vertexes of the display range farthest from a center of the image, and sets the vertex or a center point of the vertexes as a reference point, a changing unit which changes a size of the display range while keeping the position of the reference point, a moving unit which moves the display range in the image, and a display control unit which controls to execute the variable magnification processing of the partial image, and to display the partial image in the display area. The calculation unit re-calculates the reference point in response to movement of the display range in the image by the moving unit. | 2010-08-05 |
20100199215 | METHOD OF PRESENTING A WEB PAGE FOR ACCESSIBILITY BROWSING - A method of presenting a web page is described which incorporates navigation techniques and tools to allow impaired users to navigate throughout a web page in a convenient and geographically intuitive manner. Elements are sampled for in a region located in a user-specified direction, and a UI tool is presented for a detected element. Elements that are “hit” during sampling may be tested for materiality, and the material element with precedence will become the detected element. | 2010-08-05 |
20100199216 | File system in a computing environment - Methods and apparatus uniquely distinguish one file from another in a file system. In one embodiment, a flat architecture uses metadata of files for distinguishment, but files can have identical names and pathname(s) are unneeded. During a file save or find operation, users supply terms useful to themselves regarding the file, but created underlying metadata distinguishes all files. Also, an ever-decreasing list of possible file matches accompanies the save or find operation as users enter more save or search terms regarding files under present consideration. Other features contemplate a user interface (UI), a file system manager and an API exposed to other applications/computing devices. Computer program products are also disclosed. | 2010-08-05 |
20100199217 | MONITORING TERMINAL AND MONITORING METHOD PERFORMED IN THE SAME - A monitoring terminal and a monitoring method performed in the monitoring terminal. The monitoring method includes receiving a monitoring set, including a plurality of pieces of monitoring information having a hierarchical relationship from the server, in a single transmission and performing monitoring according to the received monitoring set. | 2010-08-05 |
20100199218 | METHOD AND SYSTEM FOR PREVIEWING RECOMMENDATION QUEUES - A method and system for previewing a media item recommendation queue. A server receives a request from a first device for at least a portion of a media item recommendation queue associated with a second device. The server provides to the first device a plurality of recommendation queue entries from a top portion of the media item recommendation queue associated with the second device. The order of the plurality of recommendation queue entries in the media item recommendation queue is based on a plurality of priority indicators associated with the second device. The server receives a request from the first device to couple the second device to the first device and couples the second device to the first device, wherein media item recommendations generated by the second device are directed to the first device. | 2010-08-05 |
20100199219 | ADAPTIVE SEARCH RESULT USER INTERFACE - A method and apparatus for an adaptive search user interface is provided. The user interface allows for search results to be customized by the user. The user interface includes a discovery bar of multimedia content that includes additional media content, advertisements, and features that are recommended to users. The discovery bar of multimedia content is customizable based upon user command input. The user interface also includes methods and graphical interface in which to resolve recording conflicts. | 2010-08-05 |
20100199220 | IMAGE INFORMATION ACQUISITION DEVICE, ITS METHOD, AND PROGRAM - A dynamic image acquisition device includes: input means ( | 2010-08-05 |
20100199221 | NAVIGATION OF A VIRTUAL PLANE USING DEPTH - A touchless HCI provides a virtual surface in three-dimensional space. The touchless HCI may receive input regarding a user movement, process the input to generate clean gesture data and analyze at least one dynamical variable to determine an interpreted action based upon a relationship of the clean gesture data with respect to the virtual surface. | 2010-08-05 |
20100199222 | DYNAMIC FAMILY TREE REPRESENTATION - In one aspect, a method for interacting with a representation of a family tree is provided. The method comprises receiving family tree information from a user with respect to the family tree, displaying a representation of the family tree based, at least in part, on the received family tree information, receiving update information from the user indicating at least one modification to the family tree and/or the family tree representation, the update information received via the user interacting directly with the graphical representation of the family tree, performing the at least one modification to the family tree and/or family tree representation, and displaying a representation of the family tree with the at least one modification. | 2010-08-05 |
20100199223 | HIERARCHY DISPLAY - A method for establishing a hierarchy display includes identifying a basis for a hierarchy. A root of a data set is determined using the basis. A hierarchy is configured beginning at the root. The hierarchy is populated with selected values from the data set. Supplemental data is generated based on the selected values. The hierarchy is embedded with the supplemental data. The resulting hierarchy is displayed. | 2010-08-05 |
20100199224 | SYSTEM AND METHOD FOR GENERATING A USER INTERFACE FOR TEXT AND ITEM SELECTION - A system and method for generating a user interface for text and item selection is disclosed. As described for various embodiments, a system and process is disclosed for providing an arrangement of selectable items, a mechanism for selection from the arrangement of selectable items, and a mechanism for adjusting the granularity of control of the selector. In one embodiment, the granularity control can be a zooming mechanism to modify the size and/or position of items in a selection set. In another embodiment, the granularity control can be a modification of the motion vector based on a distance from a reference point and the speed or quantity of deflection of a pointing device. Thus, as a selection point approaches the selection set, the motion of the selection point becomes less responsive to movement of the pointing device, so the user has more control over the positioning of the selection point relative to an item in the selection set. | 2010-08-05 |
20100199225 | METHOD, APPARATUS AND COMPUTER PROGRAM PRODUCT FOR SYNCHRONIZING CURSOR EVENTS - An apparatus for synchronizing cursor events may include a processor. The processor may be configured to receive an indication of a cursor event of a cursor presented within and associated with a sourcing window of a user interface that also includes a sourced window, wherein the cursor of the sourcing window indicates a geographical location within the geographical area presented by the sourcing window. Additionally, the processor may be configured to communicate information reflecting the cursor event to the sourced window. The processor may further be configured to subject the cursor of the sourced window to the cursor event reflected by the information to thereby synchronize a cursor event of the cursor of the sourced window with the cursor event of the cursor of the sourcing window, wherein the cursor of the sourced window indicates the geographical location indicated by the cursor of the sourcing window. | 2010-08-05 |
20100199226 | Method and Apparatus for Determining Input Information from a Continuous Stroke Input - An apparatus, comprising a processor configured to receive a continuous stroke input related to a virtual keypad, determine a first input information based at least in part on said continuous stroke input, display a shape associated with said first input information, receive input associated with said shape, and determine a second input information based at least in part on said shape and said input associated with said shape is disclosed. | 2010-08-05 |
20100199227 | IMAGE COLLAGE AUTHORING - A user interface that includes a catalog area, a collage mock-up area, and a mode select interface control operable to select an operational state of the user interface is displayed. Thumbnails of respective images are shown in the catalog area. A layout of a subset of the images is presented in the collage mock-up area. In response to the receipt of a user input gesture and a determination that the user interface is in a first operational state, a first action type is performed based on the type of the received user input gesture and the object type of the target object. In response to the receipt of the user input gesture and a determination that the user interface is in a second operational state, a second action type is performed based on the type of the received user input gesture and the object type of the target object. | 2010-08-05 |
20100199228 | Gesture Keyboarding - Systems, methods and computer readable media are disclosed for gesture keyboarding. A user makes a gesture by either making a pose or moving in a pre-defined way that is captured by a depth camera. The depth information provided by the depth camera is parsed to determine at least that part of the user that is making the gesture. When parsed, the character or action signified by this gesture is identified. | 2010-08-05 |
20100199229 | MAPPING A NATURAL INPUT DEVICE TO A LEGACY SYSTEM - Systems and methods for mapping natural input devices to legacy system inputs are disclosed. One example system may include a computing device having an algorithmic preprocessing module configured to receive input data containing a natural user input and to identify the natural user input in the input data. The computing device may further include a gesture module coupled to the algorithmic preprocessing module, the gesture module being configured to associate the natural user input to a gesture in a gesture library. The computing device may also include a mapping module to map the gesture to a legacy controller input, and to send the legacy controller input to a legacy system in response to the natural user input. | 2010-08-05 |
20100199230 | GESTURE RECOGNIZER SYSTEM ARCHITICTURE - Systems, methods and computer readable media are disclosed for a gesture recognizer system architecture. A recognizer engine is provided, which receives user motion data and provides that data to a plurality of filters. A filter corresponds to a gesture, that may then be tuned by an application receiving information from the gesture recognizer so that the specific parameters of the gesture—such as an arm acceleration for a throwing gesture—may be set on a per-application level, or multiple times within a single application. Each filter may output to an application using it a confidence level that the corresponding gesture occurred, as well as further details about the user motion data. | 2010-08-05 |
20100199231 | PREDICTIVE DETERMINATION - Systems, methods and computer readable media are disclosed for a gesture recognizer system architecture. A recognizer engine is provided, which receives user motion data and provides that data to a plurality of filters. A filter corresponds to a gesture, that may then be tuned by an application receiving information from the gesture recognizer so that the specific parameters of the gesture—such as an arm acceleration for a throwing gesture—may be set on a per-application level, or multiple times within a single application. Each filter may output to an application using it a confidence level that the corresponding gesture occurred, as well as further details about the user motion data. | 2010-08-05 |
20100199232 | Wearable Gestural Interface - This invention may be implemented as a wearable apparatus comprised of a camera, a projector, a mirror, a microphone and a digital computer. The camera captures visual data. This data is analyzed by the digital computer to recognize objects and hand gestures, using color tracking and edge detection techniques. The projector is used, along with a mirror to adjust the direction of the projected light, to project images on objects in the user's environment. For example, the images may be projected on surfaces such as a wall, table, or piece of paper. The projected images may contain information relevant to the object being augmented. Indeed, the information may include current data obtained from the Internet. Also, the projected images may comprise graphical interfaces, with which a user may interact by making hand gestures. | 2010-08-05 |
20100199233 | Uniquely Marking Products And Product Design Data - Methods and apparatuses for marking the product of an unauthorized use of a process are provided. For example, various implementations of the invention may cause a product to be marked when it is produced by the unauthorized use of a process. With some implementations of the invention, a computer program product may contain operations, which if the computer program product is used without authorization, would cause an inconspicuous mark to be placed within the output of the computer program or computer program product. | 2010-08-05 |
20100199234 | METHODS AND APPARATUSES FOR CIRCUIT DESIGN AND OPTIMIZATION - In one aspect of an embodiment, a method implemented on a data processing system for circuit design, includes: identifying one or more first portions (e.g., islands) of a design of a circuit, where each of the one or more first portions contains a set of elements interconnected via timing critical nets; and reporting inter-dependency between portions of the circuit in view of the one or more first portions. In one aspect of an embodiment, a method implemented on a data processing system for circuit design, includes: identifying a first portion (e.g., island) of a design of a circuit, the first portion containing a set of elements interconnected via timing critical nets; and performing a synthesis transformation of the first portion to isolate timing dependency of the first portion on a non-critical net connected to an element of the first portion. | 2010-08-05 |
20100199235 | SEMICONDUCTOR LAYOUT MODIFICATION METHOD BASED ON DESIGN RULE AND USER CONSTRAINTS - A method of modification of a semiconductor layout is provided. The layout comprises objects of semiconductor material with corners and edges. The method comprises a step of receiving ( | 2010-08-05 |
20100199236 | METHOD AND APPARATUS FOR PERFORMING RLC MODELING AND EXTRACTION FOR THREE-DIMENSIONAL INTEGRATED CIRCUIT (3D-IC) DESIGNS - One embodiment of the present invention provides a system that performs an RLC extraction for a three-dimensional integrated circuit (3D-IC) die. During operation, the system receives a 3D-IC die description. The system then transforms the 3D-IC die description into a set of 2D-IC die descriptions, wherein the transform maintains equivalency between the set of 2D-IC die descriptions and the 3D-IC die description. Next, for each 2D-IC die description in the set of 2D-IC die descriptions, the system performs an electrical property extraction using a 2D-IC extraction tool to obtain a 2D-IC RLC netlist file. The system then combines the set of 2D-IC RLC netlist files for the set of 2D-IC die descriptions to form an RLC netlist file for the 3D-IC die description. | 2010-08-05 |
20100199237 | TRANSFORMING VARIABLE DOMAINS FOR LINEAR CIRCUIT ANALYSIS - Embodiments in the present disclosure pertain to domain translators. A domain translator converts a variable from one domain to a different domain. Domains include, but are not limited to, voltage, current, frequency, phase, delay, and duty-cycle. In particular, domain translators enable conversion between standard voltage and current domains commonly used by circuit simulators to other domains such as frequency, phase, delay, duty-cycle, etc., so that linear analysis can be performed on a wide range of circuits that exhibit linear behavior in domains other than voltage and current. | 2010-08-05 |
20100199238 | Systematic Method for Variable Layout Shrink - A method for integrated circuit design includes providing a layout of an integrated circuit; determining key parameters of the integrated circuit; determining target values of the key parameters; and performing a first shrinkage of the layout using a first shrink percentage to generate a shrunk layout. The shrunk layout is evaluated by generating values of the key parameters from the shrunk layout. A portion of the values of the key parameters failing to meet respective ones of the target values is found. Guidelines for tuning manufacturing processes of the shrunk layout are provided, so that the portion of the values of the key parameters can meet the respective ones of the target values. | 2010-08-05 |
20100199239 | SIMULATION METHOD AND SIMULATION PROGRAM - There is a need for keeping the amount of data to be saved and a simulation process time almost constant irrespectively of a hierarchical level of a hierarchical circuit to be simulated. This simulation method includes a first process and a second process. The first process saves result data obtained from simulating an interface node between higher-level and lower-level hierarchies in accordance with a result of simulation using hierarchical circuit data hierarchized for multiple hierarchies. The second process uses result data saved by the first process to reproduce internal node data not saved by the first process. Result data for the interface node between hierarchies indirectly determines a value for the internal node. Result data to be saved is data concerning the interface node between hierarchies. The amount of saved data and the time needed for the second process are independent of a hierarchical level or a higher-level or lower-level hierarchy. | 2010-08-05 |
20100199240 | Parallel Electronic Design Automation: Shared Simultaneous Editing - A method to simultaneously allow multiple users to edit in shared areas of a master design includes displaying the master design, allowing a first user to edit in a shared area of the design, while simultaneously allowing a second user to edit in a shared area of the design while preserving the integrity of the design. | 2010-08-05 |
20100199241 | Method and System for Automated Use of Uninterpreted Functions in Sequential Equivalence Checking - A method, system and computer program product for automated use of uninterpreted functions in sequential equivalence checking. A first netlist and a second netlist may be received and be included in an original model, and from the original model, logic to be abstracted may be determined. A condition for functional consistency may be determined, and an abstract model may be created by replacing the logic with abstracted logic using one or more uninterpreted functions. One or more functions may be performed on the abstract model. For example, the one or more functions may include one or more of a bounded model checking (BMC) algorithm, an interpolation algorithm, a Boolean satisfiability-based analysis algorithm, and a binary decision diagram (BDD) based reachability analysis algorithm, among others. | 2010-08-05 |
20100199242 | Verification Test Failure Analysis - Methods and apparatuses are provided that allow for efficient analysis of a graph describing tests, elements of a device design and test results. In various implementations of the invention, a relationship between the elements of a device design, and test results is performed. An entropy value is determined for each corresponding element based upon the test results. The entropy value may assist test engineers in identifying the elements of the device design needing redesign. | 2010-08-05 |
20100199243 | METHOD AND SYSTEM FOR POINT-TO-POINT FAST DELAY ESTIMATION FOR VLSI CIRCUITS - The present disclosure is directed to a method for estimating an interconnect delay for a source-to-sink path of a net within a Very Large Scale Integration (VLSI) circuit, the source-to-sink path connecting a source and a sink in the net. The method may comprise estimating a total wire capacitance; calculating a delay contribution based on delay of the source-to-sink path and delay of a plurality of off-path sinks; and estimating the interconnect delay for the source-to-sink path based on the delay contribution. | 2010-08-05 |
20100199244 | Formal Verification Of Clock Domain Crossings - Methods and apparatus for performing automated formal clock domain crossing verification on a device are detailed. In various implementations of the invention, a device may be analyzed, wherein the clock domain crossing boundaries are identified. Subsequently, a formal clock domain crossing verification method may be applied to the identified clock domain crossing boundaries, resulting in clock domain crossing assertions being identified. After which the identified assertions may be promoted for post clock domain crossing analysis. With various implementations of the invention, a formal clock domain crossing method is provided, wherein the device components near an identified clock domain crossing are extracted. Assertions may then be synthesized and verified based upon the extracted components. Various implementations of the invention provide for clock domain crossing verification to be performed iteratively, wherein a larger and larger selection of the device is extracted during formal verification. Additionally, various implementations of the present invention provide that the clock domain crossing verification operate on the fly during a device verification procedure. With further implementations, a bit-blasted approach to clock domain crossing verification may be provided during formal verification. | 2010-08-05 |
20100199245 | Non-Linear Receiver Model For Gate-Level Delay Calculation - A characterized cell library for EDA tools includes receiver model data that provides two or more capacitance values for a given receiver modeling situation (signal type and operating conditions). The receiver model can then use different capacitance values to generate different portions of the model receiver signal, thereby enabling more accurate matching of actual receiver signal timing characteristics. For example, a two-capacitance receiver model can be generated by using the first capacitance value to match the delay characteristics of an actual receiver, and by using the second capacitance (in light of the use of the first capacitance) to match the slew characteristics of that actual receiver. Because typical EDA timing analyses focus mainly on delay and slew (and not the detailed profile of circuit signals), a two-capacitance receiver model can provide a high degree of accuracy without significantly increasing cell library size and computational complexity. | 2010-08-05 |
20100199246 | Programmable analog tile configuration tool - A programmable analog tile integrated circuit configuration tool communicates a power management control characteristic query soliciting control requirement information for a novel Power Management Integrated Circuit (PMIC) tile in a Multi-Tile Power Management Integrated Circuit (MTPMIC). The configuration tool receives a user response to the query indicating control requirements across a network. The PMIC tile includes configuration registers. Configuration information bit values stored in the configuration registers control the operational characteristics of the functional circuitry of the tile. The configuration registers of each novel PMIC tile are accessible at pre-defined addresses on a standardized bus of the MTPMIC. In response to the user response, the configuration tool generates appropriate tile configuration information for loading the configuration registers such that the PMIC tile within the MTPMIC is programmed to satisfy the user's control requirements. | 2010-08-05 |
20100199247 | Communicating configuration information across a programmable analog tile to another tile - A programmable analog tile integrated circuit is configured over a standardized bus by communicating tile configuration information from a first integrated circuit tile, through a second integrated circuit tile, to a third integrated circuit tile. Each of the three integrated circuit tiles is part of an integrated circuit. The standardized bus is formed when the tiles are placed adjacent one another. Data bus and control signal conductors of the adjacent tiles line up and interconnect such that each signal conductor is electrically connected to every tile. Tile configuration information may be written to a selected register identified by an address in any selected one of the tiles using the data bus and control lines, regardless of the relative physical locations of the tile sending and the tile receiving the information. Thus, tile configuration information may pass from one tile to another tile, through any number of intermediate tiles. | 2010-08-05 |
20100199248 | Packaging design supporting device and packaging design supporting method for semiconductor integrated circuit and recording medium - A disclosed packaging design supporting device for a semiconductor integrated circuit includes a selection data acquisition unit inputting a change of the selected logic cell; a bulk fix data generation unit generating bulk fix data in which a bulk layer of the semiconductor substrate of the semiconductor integrated circuit has been fixed, arranging a design-change dummy logic cell in a region where no logic cell is arranged in the bulk layer, and generating a design-change logic cell by wiring the design-change dummy logic cell; and a selection cell move determination unit prohibiting the change with respect to the selected logic cell. | 2010-08-05 |
20100199249 | Programmable analog tile placement tool - A programmable analog tile integrated circuit placement tool allows a user to manipulate a graphical representation of a first power management integrated circuit (PMIC) tile with respect to a graphical representation of a second PMIC tile in a proposed Multi-Tile Power Management Integrated Circuit (MTPMIC). The novel PMIC tiles have pre-defined physical structures including a bus portion and a memory structure for storing configuration information for configuring the tile. When appropriately placed in a MTPMIC, the bus portions of the selected tiles automatically form a standardized bus that accommodates all signal communication required for a functioning MTPMIC. A remote user with minimal training in analog circuit design may command the placement of individual tiles in a proposed MTPMIC layout. Upon receiving a user response indicating satisfaction with the placement of PMIC tiles, the tool quickly and automatically generates physical layout data suitable for fabrication of the MTPMIC. | 2010-08-05 |
20100199250 | Analog tile selection, placement, configuration and programming tool - An Analog Tile Selection, Placement, Configuration and Programming (ATSPCP) tool communicates a power management characteristic query over a network. The query is displayed to a user on a webpage. The query is a solicitation for desired characteristics of a Power Management Integrated Circuit (PMIC). After receiving user requirements in a response to the query, the tool selects a number of power management integrated circuit tiles having pre-defined physical structures. The pre-defined structure of each tile includes a bus portion and a memory structure for storing configuring information for the tile. When combined in a Multi-Tile Power Management Integrated Circuit (MTPMIC), the bus portions of the selected tiles automatically form a standardized bus that accommodates all signal communication required for a functioning MTPMIC that meets the user requirements. The ATSPCP tool combines the physical layout data of each selected PMIC tile to form composite physical layout data for the overall MTPMIC. | 2010-08-05 |
20100199251 | Heuristic Routing For Electronic Device Layout Designs - Various implementations of the invention provide a method for dynamically determining a layer bias. In various implementations, the layer bias may be employed to determine placement locations for a trace within an electrical device layout design. The trace providing for the electrical connection of components or pins within the layout design. With various implementations of the invention, a layer within the layout design is partitioned into regions, selected regions having a bias. As events or alterations to the layout design occur, the corresponding bias for the selected regions is updated to reflect any changes in bias occurring due to the event or alteration. With other implementations of the invention, processes, machines, or manufactures are provided that dynamically determine a layer bias. The dynamically determined layer bias may be incorporated into a layer bias heuristic employed by for example, an automated trace routing tool. | 2010-08-05 |
20100199252 | ROUTABILITY OF INTEGRATED CIRCUIT DESIGN WITHOUT IMPACTING THE AREA - Improving the routability of integrated circuit (IC) design without impacting the area. A local region of congestion of an IC design is determined according to a design parameter. A cell with a specified level of complexity is identified within the local region of congestion. An alternative cell is algorithmically created with a same logic function as the cell by adding an access point to the alternative cell. The cell is then replaced with the alternative cell within the local region of congestion. | 2010-08-05 |
20100199253 | Routing Method for Double Patterning Design - A method of designing a double patterning mask set includes dividing a chip into a grid comprising grid cells; and laying out a metal layer of the chip. In substantially each of the grid cells, all left-boundary patterns of the metal layer are assigned with a first one of a first indicator and a second indicator, and all right-boundary patterns of the metal layer are assigned with a second one of the first indicator and the second indicator. Starting from one of the grid cells in a row, indicator changes are propagated throughout the row. All patterns in the grid cells are transferred to the double patterning mask set, with all patterns assigned with the first indicator transferred to a first mask of the double patterning mask set, and all patterns assigned with the second indicator transferred to a second mask of the double patterning mask set. | 2010-08-05 |
20100199254 | Programmable analog tile programming tool - A programmable analog tile integrated circuit programming tool communicates a power management control characteristic query soliciting control requirement information for a novel power management integrated circuit (PMIC) tile in a multi-tile power management integrated circuit (MTPMIC). The programming tool receives a user response to the query indicating control requirements across a network. The novel PMIC tiles have a pre-defined physical structure including all memory structures required for configuration of each tile and a bus portion. When combined in a multi-tile power management integrated circuit (MTPMIC), the bus portions of the selected tiles automatically form a standardized bus that accommodates all signal communication required for a functioning MTPMIC. The memory structure of each tile is individually addressable via the standardized bus. Thus, in response to control requirements, the programming tool programs a PMIC tile that is part of a MTPMIC to meet the control requirements. | 2010-08-05 |
20100199255 | METHOD AND APPARATUS FOR CORRECTING ASSIST-FEATURE-PRINTING ERRORS IN A LAYOUT - One embodiment of the present invention provides a system that adjusts assist features in a layout to prevent assist features from printing. During operation, the system receives a layout. The system then identifies an assist-feature (AF)-printing hotspot in the layout, wherein the AF-printing hotspot includes a set of assist features and one or more target patterns in proximity to the set of assist features. At least one assist feature in the set of assist features is expected to print during a lithography process. Next, the system modifies the AF-printing hotspot by: (1) modifying the set of assist features; and (2) performing optical-proximity-correction (OPC) on the one or more target patterns. The system then performs a lithography simulation on the modified AF-printing hotspot to determine if: (1) a through-process-window associated with the modified AF-printing hotspot is acceptable; and (2) no assist feature in the modified set of assist features is expected to print. If so, the system replaces the AF-printing hotspot with the modified AF-printing hotspot. | 2010-08-05 |
20100199256 | PERFORMING OPTICAL PROXIMITY CORRECTION BY INCORPORATING CRITICAL DIMENSION CORRECTION - A solution for performing an optical proximity correction (OPC) process on a layout by incorporating a critical dimension (CD) correction is provided. A method may include separating the layout into a first portion and a second portion corresponding to the two exposures; creating a model for calculating a CD correction for a site on the first portion, the model corresponding to a topography change on the site due to the double exposures; implementing an OPC iteration for the fragment based on the model to generate an OPC solution for the first portion; and combining the OPC solution for the first portion with an OPC solution for the second portion to generate an OPC solution for the layout to generate a mask for fabricating a structure using the layout. | 2010-08-05 |
20100199257 | Automated Partitioning of a Computation for Parallel or Other High Capability Architecture - A method and a system for transformation-based program generation using two separate specifications as input: An implementation neutral specification of the desired computation and a specification of the execution platform. The generated implementation incorporates execution platform opportunities such as parallelism. Operationally, the invention has two broad stages. First, it designs the abstract implementation in the problem domain in terms of an Intermediate Language (IL) that is unfettered by programming language restrictions and requirements. Concurrently, the design is evolved by specializing the IL to encapsulate a plurality of desired design features in the implementation such as partitioning for multicore and/or instruction level parallelism. Concurrently, constraints that stand in for implied implementation structures are added to the design and coordinated with other constraints. Second, the IL is refined into implementation code. With this invention, porting an implementation neutral computation to an arbitrary architecture can be automated. | 2010-08-05 |
20100199258 | Software Forecasting System - According to one embodiment, a software forecasting system includes a software forecasting tool coupled to a user interface and a software cost estimation tool. The software forecasting tool generates a software development metric according to attributes derived from one or more previous software development projects. The software forecasting tool receives a similar software development metric from the software cost estimation tool and calculates a difference between the received metric and that of its own and displays the result on the user interface. | 2010-08-05 |
20100199259 | Methods and Apparatus for Dynamic Class Reloading and Versioning - Methods and apparatus for dynamic class reloading and versioning that allow developers to change and recompile classes and to have running programs adopt the new versions of the classes dynamically, without redeploying the application. A dynamic class reloading component detects if the environment supports dynamic class redefinition and uses it if supported but does not require it. As the component loads a managed class, it modifies the bytecode of the class and generates additional classes and interfaces to support type-safe class versioning. Unique names are generated for successive versions of a managed class. A separate interface may be generated for each distinct method name and signature implemented on managed classes. Each generated class may implement all the generated interfaces that correspond to its methods. The same class loader that would load each managed class without the component loads the component-generated classes and interfaces. | 2010-08-05 |
20100199260 | RESOURCE PROCESSING USING AN INTERMEDIARY FOR CONTEXT-BASED CUSTOMIZATION OF INTERACTION DELIVERABLES - A software application includes work order resources, each of which defines an atomic operation for the software application, and a construction service resource, which processes the work order resources in response to all interaction requests for the software application. Each interaction request is received from a client and identifies a corresponding work order, which the construction service processes to dynamically construct a set of deliverables, which can include a custom representation of the work order. While processing the interaction request, the construction service, as directed by the work order, can make one or more requests to context resources for context information corresponding to an activity for which the interaction was requested to construct the set of deliverables. The work order resource can comprise a reflective program that enables the construction service to dynamically determine and construct the set of deliverables, including the next appropriate interaction(s) using the context information, thereby directing a set of atomic operations as part of an activity being performed and enabling the dynamic context-based construction of interaction deliverables. | 2010-08-05 |
20100199261 | SYSTEM AND METHOD FOR APPLYING DEVELOPMENT PATTERNS FOR COMPONENT BASED APPLICATIONS - A computing device and method for coordinating the development of a client application including application components comprising one or more of at least one of a data component, a message component or a screen component, having corresponding component definitions, or a workflow component comprising a series of instructions. A first development pattern module configured for interaction with a development environment is provided by a computer user interface, the first development pattern module configured for selecting a first pattern from a plurality of patterns based on an application component type central to the client application, the first development pattern module for guiding a user interface with a plurality of predefined steps to coordinate a development of the application components through user input events via the user interface in accordance with the first pattern. | 2010-08-05 |
20100199262 | System For Identifying Attributes And Events With Immutable Sequential Numbers - A method of defining attributes and events in an object oriented software system. The attributes and events are defined by the class as hierarchically unique number fields. They are initialized by a call to a metadata storage object which may be passed attribute or event related metadata for subsequent access by the software system. | 2010-08-05 |
20100199263 | TEST CASE PATTERN MATCHING - A method of providing feedback on source code being created includes receiving source code and processing the received source code according to a predefined rule set to create a representation of the received source code. A source code repository is accessed that includes source code fragments. Each source code fragment in the repository has been processed according to the predefined rule set to create representations of the respective source code fragments. The representation of the received source code is compared to each representation of the source code fragments. A matching score is calculated for the representation of the received source code with respect to each representation of the source code fragments based upon an output of the comparison step. An output derived from the calculated matching scores is presented. | 2010-08-05 |
20100199264 | PATTERN INSPECTION SYSTEM, PATTERN INSPECTION DEVICE, METHOD AND PATTERN INSPECTION PROGRAM - With a program described in an object-oriented language as a target, it is inspected by program analysis whether a pattern of a method call issued to a specific object generated at the time of execution conforms to a given rule of pattern definition or not. | 2010-08-05 |
20100199265 | PROGRAM DEBUGGING WITH DYNAMICALLY INSERTED INSTRUMENTATION - The present disclosure simplifies programming debugging by dynamically injecting debugger compiled instrumentation into the debuggee process such that the debuggee process executes the instrumentation without executing the debugger. In one example method, the debugger controls compiling a description of the instrumentation as an instrumentation method. The debugger can then write the instrumentation method into the debuggee. The debuggee can save the state of a target method of the debuggee process at a predetermined location. The debuggee process calls the instrumentation method from the debuggee. In addition, the state of the target method can be restored and the resumed from the predetermined location after the instrumentation method executes. | 2010-08-05 |
20100199266 | Code Execution Visualization Using Software Fingerprinting - A system, method, and computer program for analyzing code execution and software performance characteristics are disclosed. Samples of executing code may be taken based on any of a number of various triggers. For example, samples may be triggered based on function calls, such as malloc calls. Alternatively, samples may be triggered based on occurrence of a specified event. Code execution is graphically displayed such that certain patterns may be easily identified. Multiple redundant function calls and areas of code having excessively deep function calls can be readily spotted. Such areas represent opportunities for performance optimization. | 2010-08-05 |
20100199267 | SIZING AN INFRASTRUCTURE CONFIGURATION OPTIMIZED FOR A WORKLOAD MIX USING A PREDICTIVE MODEL - Sizing an infrastructure configuration optimized for a workload mix includes: receiving the workload mix; identifying demand estimates for the one or more predefined benchmarks; applying a predictive model to identify an initial infrastructure configuration having a size anticipated to meet the demand estimates; instructing a virtualized-aware testing service (VATS) test controller to perform a test of the initial infrastructure configuration in a virtualized environment, where performance of the test generates at least one test result; determining whether the at least one test result satisfies a predetermined requirement as identified in the workload mix; and outputting the determination of whether the at least one test result satisfies the predetermined requirement. | 2010-08-05 |
20100199268 | APPLICATION OF PLATFORM DEPENDENT ROUTINES IN VIRTUAL MACHINES BY EMBEDDING NATIVE CODE IN CLASS FILES - The deployment of native methods in a virtual machine environment may be significantly simplified by incorporating a corresponding native code segment into the application file, such as a JAVA class file, and using the embedded native code segment for library bind operations of the corresponding class file. | 2010-08-05 |
20100199269 | PROGRAM OPTIMIZATION DEVICE AND PROGRAM OPTIMIZATION METHOD - A program optimization device which, when optimizing a program, performs optimization depending on characteristics of data to be processed by the program without having to execute the program before the optimization, includes: an intermediate code conversion unit that converts an input program to be optimized, into an intermediate code; a variable value setting unit that sets a possible value of a variable according to externally provided information; a node value calculation unit that calculates a possible value of a node included in the intermediate code according to the value set by the variable value setting unit; an intermediate code optimization unit that optimizes the intermediate code according to the value calculated by the node value calculation unit; and an output program conversion unit that converts the intermediate code optimized by the intermediate code optimization unit, to an output program. | 2010-08-05 |
20100199270 | SYSTEM, METHOD, AND COMPUTER-PROGRAM PRODUCT FOR SCALABLE REGION-BASED REGISTER ALLOCATION IN COMPILERS - A region-based register allocation system, method, and computer-program product not only provides a scalable framework across multiple applications, but also improves application runtime. They include a register pressure based model, to determine when using multiple regions may be profitable, the use of different regions for each register class, and a new region formation algorithm. | 2010-08-05 |
20100199271 | ELECTRONIC APPARATUS, UPDATING METHOD OF SOFTWARE AND STORAGE MEDIUM STORING COMPUTER PROGRAM - An electronic apparatus including a software module includes a setting unit configured to set information indicative of a policy at the time of updating the software module based on an operation by a user, an acquirement unit configured to acquire the software module to be updated and information as an index for determining timing for updating the software module and a determination unit configured to determine the timing for updating the software module by using the information set by the setting unit and the information acquired by the acquirement unit. | 2010-08-05 |
20100199272 | UPDATING FIRMWARE WITHOUT DISRUPTING SERVICE - A method, system, and computer usable program product for updating firmware without disrupting service are provided in the illustrative embodiments. An updated firmware code is sent to a first firmware component and a second firmware component. The first firmware component is a primary firmware component and the second firmware component is a backup firmware component in a redundant firmware configuration. The updated firmware code is installed in second firmware component. The updated firmware code is activated in a third firmware component. The third firmware component is in communication with the first firmware component. A fail-over from the first firmware component to the second firmware component is performed such that a user communicating with the data processing system and receiving a service using the first firmware component continues to receive the service using the second firmware component without a disruption in the service. | 2010-08-05 |
20100199273 | Methods for rapid, secure deployment for large files - A method in one example has the steps of: creating a list of network elements identified by their respective network addresses, and file names for software images, each software image respectively associated with at least one network element; sending, from a master server, the list to a predetermined initial network element on the list; downloading, from a master server, each of the software images to the predetermined initial network element; checking, by the predetermined initial network element, the network elements for associated software images in common to form groups of network elements for each respective software image; downloading, from the predetermined initial network element, each respective software image to all network elements associated with the respective software image. Each network element with downloaded software can in turn act as the server for a subsequent set of network elements. | 2010-08-05 |
20100199274 | Object oriented component and framework architecture for signal processing - A signal intelligence system comprising a plurality of software components that are programmable to provide a signal intelligence function. The signal intelligence system includes a processor system having a plurality of interconnected processor devices and a plurality of processor managers that are connected to the processor devices and are configured to control software components associated with the processor devices. Further, the signal intelligence system has a framework manager that is configured to interact with the plurality of processor managers to control the processor devices and effectuate the signal intelligence function. | 2010-08-05 |
20100199275 | SERVER SWITCH INTEGRATION IN A VIRTUALIZED SYSTEM - A switch, a system and operational method for packet switching between virtual machines running in a server and a network. The server comprises a switch with swappable, virtual ports. The switch routes packets to and from the various virtual machines resident in the server memory. | 2010-08-05 |
20100199276 | Methods and Systems for Dynamically Switching Between Communications Protocols - A method for dynamically switching between communications protocols used in communicating with each of a plurality of physical computing devices includes configuring, by a storage delivery management service, a storage system in a storage area network, to communicate, according to a first communications protocol with a first physical computing device executing a virtual machine, the storage system providing, to the virtual machine, access to a virtual storage resource. The storage delivery management service receives a request to migrate the virtual machine from the first physical computing device to a second physical computing device. The storage delivery management service configures the storage system to communicate with the second physical computing device according to a second communications protocol. The storage delivery management service transmits, to the second physical computing device, an identification of the storage system providing access to the virtual storage resource for the virtual machine. | 2010-08-05 |
20100199277 | Processor Control Register Virtualization to Minimize Virtual Machine Exits - A processor includes a processor control register with a control flag that determines an operating mode of the processor. A pointer to a guest virtual machine context in a portion of a random access memory (RAM) is coupled to the processor. An execution control unit tests a first flag in the guest virtual machine context, the first flag to indicate whether the control flag is owned by a guest virtual machine associated with the guest virtual machine context. The execution control unit maintains the control flag in the processor control register if the control flag is owned by the guest virtual machine and maintains the control flag in a shadow location in the guest virtual machine context if the control flag is not owned by the guest virtual machine. | 2010-08-05 |
20100199278 | JOB EXECUTION APPARATUS, JOB EXECUTION METHOD, AND COMPUTER-READABLE STORAGE MEDIUM FOR COMPUTER PROGRAM - An image forming apparatus is provided with the following functional portions: a printable/unprintable determination portion that determines whether or not a print job can be executed based on any of a plurality of conditions specified by a user; and a first printing process portion that executes, if it has been determined that the print job can be executed based on any of the plurality of conditions, the print job based on any of executable conditions among the plurality of conditions, the executable conditions being conditions based on which the print job can be executed. | 2010-08-05 |
20100199279 | USER CONNECTIVITY PROCESS MANAGEMENT SYSTEM - A system is disclosed according to the present invention that manages the process of providing a client access to a secured service. In the exemplary embodiment, the secured service is a computer system that allows the client to trade financial instruments. Management of this process includes managing execution of tasks that can be automatically executed and delegating tasks that require manual execution; communicating with entities outside of the process management system; and handling “demands,” or unexpected problems that arise in the middle of the client connectivity process. | 2010-08-05 |
20100199280 | SAFE PARTITION SCHEDULING ON MULTI-CORE PROCESSORS - One embodiment is directed to a method of generating a set of schedules for use by a partitioning kernel to execute a plurality of partitions on a plurality of processor cores included in a multi-core processor unit. The method includes determining a duration to execute each of the plurality of partitions without interference and generating a candidate set of schedules using the respective duration for each of the plurality of partitions. The method further includes estimating how much interference occurs for each partition when the partitions are executed on the multi-core processor unit using the candidate set of schedules and generating a final set of schedules by, for at least one of the partitions, scaling the respective duration in order to account for the interference for that partition. The method further includes configuring the multi-core processor unit to use the final set of schedules to control the execution of the partitions using at least two of the cores. | 2010-08-05 |
20100199281 | Managing the Processing of Processing Requests in a Data Processing System Comprising a Plurality of Processing Environments - Processing requests may be routed between a plurality of runtime environments, based on whether or not program(s) required for completion of the processing requests is/are loaded in a given runtime environment. Cost measures may be used to compare costs of processing a request in a local runtime environment and of processing the request at a non-local runtime environment. | 2010-08-05 |
20100199282 | LOW BURDEN SYSTEM FOR ALLOCATING COMPUTATIONAL RESOURCES IN A REAL TIME CONTROL ENVIRONMENT - A low processing overhead resource manager for a control system uses control system state as a proxy for processing resource capacity, making judgments about execution of asynchronous services based on empirically derived data linked to the states. | 2010-08-05 |
20100199283 | DATA PROCESSING UNIT - When a CPU is processing a first task by using an accelerator for use in image processing, if a request for allocating the accelerator to a process of a second task is issued, the CPU sets an interruption flag when the process of the second task is prioritized over a process of the first task, and the accelerator is allowed to be used for the process of the second task when a state in which the interruption flag is set is detected at a timing predetermined in accordance with a process stage of the accelerator for the first task. Since the timing of detecting the set interruption flag is determined in accordance with a progress state of the process of the task to be interrupted, task switching can be made at a timing of reducing overhead for save and return for the process of the task to be interrupted. | 2010-08-05 |