26th week of 2011 patent applcation highlights part 52 |
Patent application number | Title | Published |
20110159655 | STRESS ENHANCED TRANSISTOR DEVICES AND METHODS OF MAKING - Stress enhanced transistor devices and methods of fabricating the same are provided. In one embodiment, a transistor device comprises: a gate conductor disposed above a semiconductor substrate between a pair of dielectric spacers, wherein the semiconductor substrate comprises a channel region underneath the gate conductor and recessed regions on opposite sides of the channel region, wherein the recessed regions undercut the dielectric spacers to form undercut areas of the channel region; and epitaxial source and drain regions disposed in the recessed regions of the semiconductor substrate and extending laterally underneath the dielectric spacers into the undercut areas of the channel region. | 2011-06-30 |
20110159656 | METHOD FOR MANUFACTURING A MOSFET WITH A SURROUNDING GATE OF BULK SI - A method for manufacturing a bulk Si nanometer surrounding-gate MOSFET based on a quasi-planar process, including: local oxidation isolation or shallow trench isolation; depositing buffer SiO | 2011-06-30 |
20110159657 | ENHANCED INTEGRITY OF A HIGH-K METAL GATE ELECTRODE STRUCTURE BY USING A SACRIFICIAL SPACER FOR CAP REMOVAL - In a process strategy for forming sophisticated high-k metal gate electrode structures in an early manufacturing phase, the dielectric cap material may be removed on the basis of a protective spacer element, thereby ensuring integrity of a silicon nitride sidewall spacer structure, which may preserve integrity of sensitive gate materials and may also determine the lateral offset of a strain-inducing semiconductor material. | 2011-06-30 |
20110159658 | METHOD FOR FABRICATING METAL-OXIDE SEMICONDUCTOR TRANSISTORS - A method for fabricating a metal-oxide semiconductor transistor is disclosed. The method includes the steps of: providing a semiconductor substrate; forming a gate structure on the semiconductor substrate; and performing a first ion implantation process to implant a first molecular cluster having carbon, boron, and hydrogen into the semiconductor substrate at two sides of the gate structure for forming a doped region, wherein the molecular weight of the first molecular cluster is greater than 100. | 2011-06-30 |
20110159659 | Novel Manufacturing Approach for Collector and N Type Buried Layer Of Bipolar Transistor - This invention disclosed a novel manufacturing approach of collector and buried layer of a bipolar transistor. One aspect of the invention is that an oxide-nitride-oxide (ONO) sandwich structure is employed instead of oxide-nitride dual layer structure before trench etching. Another aspect is, through the formation of silicon oxide spacer in trench sidewall and silicon oxide remaining in trench bottom in the deposition and etch back process, the new structure hard mask can effectively protect active region from impurity implanted in ion implantation process. | 2011-06-30 |
20110159660 | Methods of Forming Integrated Circuit Capacitors Having Sidewall Supports and Capacitors Formed Thereby - In a method of forming a capacitor, a first mold layer pattern including a first insulating material may be formed on a substrate. The first mold layer pattern may have a trench. A supporting layer including a second insulating material may be formed in the trench. The second insulating material may have an etching selectivity with respect to the first insulating material. A second mold layer may be formed on the first mold layer pattern and the supporting layer pattern. A lower electrode may be formed through the second mold layer and the first mold layer pattern. The lower electrode may make contact with a sidewall of the supporting layer pattern. The first mold layer pattern and the second mold layer may be removed. A dielectric layer and an upper electrode may be formed on the lower electrode and the supporting layer pattern. | 2011-06-30 |
20110159661 | Nonvolatile Memory Element and Production Method Thereof and Storage Memory Arrangement - A nonvolatile memory element and associated production methods and memory element arrangements are presented. The nonvolatile memory element has a changeover material and a first and second electrically conductive electrode present at the changeover material. To reduce a forming voltage, a first electrode has a field amplifier structure for amplifying a field strength of an electric field generated by a second electrode in a changeover material. The field amplifier structure is a projection of the electrodes which projects into the changeover material. The memory element arrangement has multiple nonvolatile memory elements which are arranged in matrix form and can be addressed via bit lines arranged in column form and word lines arranged in row form. | 2011-06-30 |
20110159662 | METHOD FOR FABRICATING CROWN-SHAPED CAPACITOR - A method for fabricating a crown-shaped capacitor includes providing a first dielectric layer with a protective pillar formed thereover, including a first conductive layer, a protective layer, and a mask layer. A second conductive layer is formed over a sidewall of the protective pillar. A first capacitance layer and a third conductive layer are formed over the first dielectric layer. A sacrificial layer is formed over the third conductive layer. The sacrificial layer, the third conductive layer, the first capacitance layer, the second conductive layer, and the mask layer above the protective layer are partially removed. The second conductive layer and the third conductive are removed to form a recess adjacent to the first capacitance layer. The protective layer is removed and an opening is formed to expose the first and second conductive layers. A second capacitance layer and a fourth conductive layer are formed in the opening. The sacrificial layer is removed to expose the third conductive layer. | 2011-06-30 |
20110159663 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING SPACER PATTERNING TECHNIQUE - A method for fabricating a semiconductor device using optical proximity correction to form high integrated cell patterns that are less prone to bridge defects. The method includes: obtaining a target layout of cell patterns, which form rows in a cell region, and peripheral patterns of a peripheral region; forming oblique patterns, which are alternately overlapped in the rows of the cell patterns, and a reverse pattern of the peripheral patterns; attaching spacers to sidewalls of the oblique patterns and the reverse pattern; forming first burying patterns between the oblique patterns and a second burying pattern around the reverse pattern by filling gaps between the spacers; and forming the cell patterns by cutting and dividing the middle portions of the oblique patterns and the first burying patterns, and setting the peripheral pattern with the second burying pattern by removing the reverse pattern. | 2011-06-30 |
20110159664 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE WITH BURIED GATES - A method for fabricating a semiconductor device includes sequentially stacking a pad oxide layer and a hard mask layer over a substrate, forming a device isolation layer over the substrate, forming a capping layer pattern configured to open a first region of the substrate and cover a second region of the substrate, removing the hard mask layer, removing the capping layer pattern, and removing the pad oxide layer. | 2011-06-30 |
20110159665 | METHOD FOR THE PREPARATION OF A MULTI-LAYERED CRYSTALLINE STRUCTURE - This invention generally relates to a process for making a multi-layered crystalline structure. The process includes implanting ions into a donor structure, bonding the implanted donor structure to a second structure to form a bonded structure, cleaving the bonded structure, and removing any residual portion of the donor structure from the finished multi-layered crystalline structure. | 2011-06-30 |
20110159666 | DEPOSITION SYSTEMS AND METHODS - Systems, methods, and products made by a deposition process are shown and described. A work piece is supported in a main deposition chamber so that the work piece is positioned above each container of deposition material as the container is moved into and out of the deposition chamber. One or more containers are sequentially moved from each of a plurality of auxiliary chambers into and out of the deposition chamber so as to deposit material from each of the containers onto the work piece in a sequential manner. | 2011-06-30 |
20110159667 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A method for fabricating a semiconductor device according to the present invention includes the steps of: growing a p-type gallium nitride-based compound semiconductor layer by performing a metalorganic chemical vapor deposition process in a heated atmosphere so that the crystal-growing plane of the semiconductor layer is an m plane (Step S | 2011-06-30 |
20110159668 | Methods For Processing Silicon On Insulator Wafers - Methods are provided for etching and/or depositing an epitaxial layer on a silicon-on-insulator structure comprising a handle wafer, a silicon layer, and a dielectric layer between the handle wafer and the silicon layer. The silicon layer has a cleaved surface defining an outer surface of the structure. The cleaved surface of wafer is then etched while controlling a temperature of the reactor such that the etching reaction is kinetically limited. An epitaxial layer is then deposited on the wafer while controlling the temperature of the reactor such that a rate of deposition on the cleaved surface is kinetically limited. | 2011-06-30 |
20110159669 | METHOD FOR DEPOSITING AMORPHOUS SILICON THIN FILM BY CHEMICAL VAPOR DEPOSITION - Provided is a method of depositing an amorphous silicon thin film by chemical vapor deposition (CVD) to prevent bubble defect occurring when an amorphous silicon thin film is deposited on a substrate contaminated by air exposure. The deposition method includes cleaning a surface of the contaminated substrate with a reaction gas activated by plasma and depositing an amorphous silicon thin film on the cleaned substrate. Here, a vacuum state is maintained from the substrate cleaning step to the thin film deposition step in order to prevent contamination of the surface of the cleaned substrate by re-exposure to air. | 2011-06-30 |
20110159670 | Method and Apparatus of Patterning a Semiconductor Device - Provided is a photoresist that includes a polymer having a backbone that is breakable and a photo acid generator that is free of bonding from the polymer. Further, provided is a method of fabricating a semiconductor device. The method includes providing a device substrate. A material layer is formed over the substrate. A photoresist material is formed over the material layer. The photoresist material has a polymer that includes a backbone. The photoresist material is patterned to form a patterned photoresist layer. A fabrication process is then performed to the material layer, wherein the patterned photoresist layer serves as a mask in the fabrication process. Thereafter, the patterned photoresist layer is treated in a manner that breaks the backbone of the polymer. The patterned photoresist layer is then removed. | 2011-06-30 |
20110159671 | ISOTOPICALLY-ENRICHED BORON-CONTAINING COMPOUNDS, AND METHODS OF MAKING AND USING SAME - An isotopically-enriched, boron-containing compound comprising two or more boron atoms and at least one fluorine atom, wherein at least one of the boron atoms contains a desired isotope of boron in a concentration or ratio greater than a natural abundance concentration or ratio thereof. The compound may have a chemical formula of B | 2011-06-30 |
20110159672 | Novel Manufacturing Approach for Collector and N Type Buried Layer Of Bipolar Transistor - This invention disclosed a manufacturing approach of collector and buried layer of a bipolar transistor. One aspect of the invention is that a pseudo buried layer, i.e, collector buried layer, is manufactured by ion implantation and thermal anneal. This pseudo buried layer has a small area, which makes deep trench isolation to divide pseudo buried layer unnecessary in subsequent process. Another aspect is, the doped area, i.e, collector, is formed by ion implantation instead of high cost epitaxy process. This invention simplified the manufacturing process, as a consequence, saved manufacturing cost. | 2011-06-30 |
20110159673 | NOVEL METHOD FOR CONFORMAL PLASMA IMMERSED ION IMPLANTATION ASSISTED BY ATOMIC LAYER DEPOSITION - Embodiments of the invention provide a novel apparatus and methods for forming a conformal doped layer on the surface of a substrate. A substrate is provided to a process chamber, and a layer of dopant source material is deposited by plasma deposition, atomic layer deposition, or plasma-assisted atomic layer deposition. The substrate is then subjected to thermal processing to activate and diffuse dopants into the substrate surface. | 2011-06-30 |
20110159674 | Method of Manufacturing Nonvolatile Memory Devices - A method of manufacturing nonvolatile memory devices comprises forming a plurality of floating gates spaced from each other over a semiconductor substrate, forming a dielectric layer on a surface of the floating gates, forming a capping layer on a surface of the dielectric layer, adding impurities to the capping layer, and forming a control gate over the capping layer containing the impurities. | 2011-06-30 |
20110159675 | PROCESS FOR FORMING SCHOTTKY RECTIFIER WITH PtNi SILICIDE SCHOTTKY BARRIER - A process for forming a Schottky barrier to silicon to a bather height selected at a value between 640 meV and 840 meV employs the deposition of a platinum or nickel film atop the silicon surface followed by the deposition of the other of a platinum or nickel film atop the first film. The two films are then exposed to anneal steps at suitable temperatures to cause their interdiffusion and a ultimate formation of Ni | 2011-06-30 |
20110159676 | FABRICATING LOW CONTACT RESISTANCE CONDUCTIVE LAYER IN SEMICONDUCTOR DEVICE - A conductive layer may be fabricated on a semiconductor substrate by loading a silicon substrate in to a chamber whose inside temperature is at a loading temperature in the range of approximately 250° C. to approximately 300° C., increasing the inside temperature of the chamber from the loading temperature to a process temperature, and sequentially stacking a single crystalline silicon layer and a polycrystalline silicon layer over the silicon substrate by supplying a silicon source gas and an impurity source gas in to the chamber, where the chamber may be, for example, a CVD chamber or a LPCVD chamber. | 2011-06-30 |
20110159677 | METHOD OF FABRICATING LANDING PLUG CONTACT IN SEMICONDUCTOR MEMORY DEVICE - A landing plug contact in a semiconductor memory device is fabricated by: forming gate spacer layers at sides of the gate stacks to define a first contact hole and a second contact hole, where a landing plug contact will be formed between the gate spacer layers of the first contact hole and no landing plug contact is formed in the second contact hole; forming a conductive layer to fill at least the first and second contact holes; forming a hard mask pattern over the conductive layer to expose the conductive layer filling the second contact hole; removing the conductive layer filling the second contact hole by an etching process; forming an insulation layer to fill at least the second contact hole where the conductive layer is removed; and forming a landing plug contact within the contact hole by performing a planarization process on the insulation layer and the conductive layer. | 2011-06-30 |
20110159678 | METHOD TO FORM A SEMICONDUCTOR DEVICE HAVING GATE DIELECTRIC LAYERS OF VARYING THICKNESSES - A method for fabricating an integrated circuit device is disclosed. An exemplary method can include providing a substrate having a first region, a second region, and a third region; and forming a first gate structure in the first region, a second gate structure in the second region, and a third gate structure in the third region, wherein the first, second, and third gate structures include a gate dielectric layer, the gate dielectric layer being a first thickness in the first gate structure, a second thickness in the second gate structure, and a third thickness in the third gate structure. Forming the gate dielectric layer of the first, second, and third thicknesses can include forming an etching barrier layer over the gate dielectric layer in at least one of the first, second, or third regions while forming the first, second, and third gate structures, and/or prior to forming the gate dielectric layer in at least one of the first, second, or third regions, performing an implantation process on the at least one region. | 2011-06-30 |
20110159679 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device is provided. A semiconductor substrate is etched to form a trench, a gate electrode is buried in the trench, an etch-back process thereon is performed to form a buried gate, and an insulating layer is formed at the entire surface with the trench. Subsequently, an ion implantation process with respect to the entire surface with the insulting layer is carried out, the dose amount in ion-implanted in the insulating layer of an upper portion of the semiconductor substrate is more than that of a sidewall in the trench. Therefore, when an etch process is performed to form a contact during a subsequent procedure, the short between the buried gate and the contact may be prevented using a difference between an etch rate of the insulating layer. | 2011-06-30 |
20110159680 | METHOD OF FORMING A DIELECTRIC LAYER AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE USING THE SAME - In a method of forming an aluminum oxide layer, an aluminum source gas and a dilution gas can be supplied into a chamber through a common gas supply nozzle so that the aluminum source gas may be adsorbed on a substrate in the chamber. A first purge gas can be supplied into the chamber to purge the physically adsorbed aluminum source gas from the substrate. An oxygen source gas may be supplied into the chamber to form an aluminum oxide layer on the substrate. A second purge gas may be supplied into the chamber to purge a reaction residue and the physically adsorbed remaining gas from the substrate. The operations can be performed repeatedly to form an aluminum oxide layer having a desired thickness. | 2011-06-30 |
20110159681 | Nonvolatile Memory Device and Method of Manufacturing the Same - A method of manufacturing a nonvolatile memory device includes forming a tunnel insulating layer over a semiconductor substrate, forming tunnel insulating patterns to expose portions of the semiconductor substrate by removing portions of the tunnel insulating layer formed over isolation regions of the semiconductor substrate, forming a first conductive layer of single crystalline material over the tunnel insulating patterns and exposed portions of the semiconductor substrate, and forming a second conductive layer over the first conductive layer. | 2011-06-30 |
20110159682 | METHODS OF MANUFACTURING MEMORY DEVICES - A method of manufacturing a memory device is disclosed. The method includes providing a substrate, forming a number of memory sectors on the substrate, wherein each of the memory sectors is coupled to an adjacent one via a first diffused region in the substrate and is coupled to another adjacent one via at least one second diffused region in the substrate, forming a first dielectric layer on the memory sectors, forming a first conductive structure through the first dielectric layer to the first diffused region, and at least one second conductive structure through the first dielectric layer to the at least one second diffused region, forming a patterned first mask layer on the first dielectric layer, the first conductive structure and the at least one second conductive structure, the patterned first mask layer exposing the first conductive structure, and etching back the first conductive structure. | 2011-06-30 |
20110159683 | METHOD OF FORMING A HIGH DENSITY STRUCTURE - The invention relates to a method of forming a high density structure comprising the steps of providing a substrate ( | 2011-06-30 |
20110159684 | SRAM CELL WITH T-SHAPED CONTACT - An integrated circuit containing an array of SRAM cells with T-shaped contacts in the inverters, in which drain connecting segments may extend beyond gate connecting segments by a distance greater than 10 percent of a separation distance between ends of opposite drain connecting segments. The drain connecting segments may also extend beyond gate connecting segments by a distance greater than one-third of the width of the gate connecting segments. A process of forming an integrated circuit containing an array of SRAM cells with T-shaped contacts in which drain connecting segments may extend beyond gate connecting segments by a distance greater than 10 percent of a separation distance between ends of opposite drain connecting segments. A process may also form the drain connecting segments to extend beyond gate connecting segments by greater than one-third of the width of the gate connecting segments. | 2011-06-30 |
20110159685 | Methods Of Forming Electrically Insulative Materials, Methods Of Forming Low k Dielectric Regions, And Methods Of Forming Semiconductor Constructions - Some embodiments include methods of forming low k dielectric regions between electrically conductive lines. A construction may be formed to have a plurality of spaced apart electrically conductive lines, and to have sacrificial material between the electrically conductive lines. The sacrificial material may be removed. Subsequently, electrically insulative material may be deposited over and between the lines. The deposition of the insulative material may occur under conditions in which bread-loafing of the insulative material creates bridges of the insulative material across gas-filled gaps between the lines. The gas-filled gaps may be considered to correspond to low k dielectric regions between the electrically conductive lines. In some embodiments the sacrificial material may be carbon. In some embodiments, the deposited insulative material may be a low k dielectric material, and in other embodiments the deposited insulative material may not be a low k dielectric material. | 2011-06-30 |
20110159686 | METHOD FOR FORMING FINE PATTERN HAVING VARIABLE WIDTH AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME - A method for forming a fine pattern having a variable width by simultaneously using an optimal focused electron beam and a defocused electron beam in a light exposure process Includes, after forming a first film on a substrate, forming a first film pattern including a first level area and a second level area having different distances from the substrate by changing a profile of an upper surface of the first film. A photoresist film having a first area covering the first level area and a second area covering the second level area is formed. To simultaneously light-expose the first area and the second area with the same width, a light exposure condition, in which an optimal focused electron beam is eradiated on the first area and a defocused electron beam is eradiated on the second area, is applied. A plurality of photoresist patterns continuously extending over the first level area and the second level area with different widths on the first level area and the second level area are formed by developing the light-exposed photoresist film. | 2011-06-30 |
20110159687 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A method for fabricating a semiconductor device includes forming a plurality of plugs over a die region and an edge bead removal (EBR) region of a wafer, forming metal lines coupled to the plugs, removing the metal lines in the EBR region, forming an inter-layer dielectric layer over the wafer, and forming a plurality of contact holes that expose the metal lines by selectively etching the inter-layer dielectric layer through a dry etch process using a plasma etch device. | 2011-06-30 |
20110159688 | Selective Metal Deposition Over Dielectric Layers - Selective deposition of metal over dielectric layers in a manner that minimizes or eliminates keyhole formation is provided. According to one embodiment, a dielectric target layer is formed over a substrate layer, wherein the target layer may be configured to allow conformal metal deposition, and a dielectric second layer is formed over the target layer, wherein the second layer may be configured to allow bottom-up metal deposition. An opening may then be formed in the second layer and metal may be selectively deposited over the substrate layer. | 2011-06-30 |
20110159689 | PRINTING PLATE AND METHOD FOR FABRICATING THE SAME - A printing plate and method for fabricating the same is disclosed. A metal layer is first formed on a glass substrate. The metal layer is then patterned in a predetermined shape. The glass substrate is next etched to a predetermined depth using the patterned metal layer as a mask and the metal layer removed. If necessary, additional metal layers have the same or different patterns may be formed on the glass substrate and the glass substrate etched after each metal layer is formed thereon until a desired etching depth in the glass is achieved. | 2011-06-30 |
20110159690 | DEPOSITING TUNGSTEN INTO HIGH ASPECT RATIO FEATURES - Methods and apparatuses for filling high aspect ratio features with tungsten-containing materials in a substantially void-free manner are provided. In certain embodiments, the method involves depositing an initial layer of a tungsten-containing material followed by selectively removing a portion of the initial layer to form a remaining layer, which is differentially passivated along the depth of the high-aspect ration feature. In certain embodiments, the remaining layer is more passivated near the feature opening than inside the feature. The method may proceed with depositing an additional layer of the same or other material over the remaining layer. The deposition rate during this later deposition operation is slower near the feature opening than inside the features due to the differential passivation of the remaining layer. This deposition variation, in turn, may aid in preventing premature closing of the feature and facilitate filling of the feature in a substantially void free manner. | 2011-06-30 |
20110159691 | METHOD FOR FABRICATING FINE PATTERNS OF SEMICONDUCTOR DEVICE UTILIZING SELF-ALIGNED DOUBLE PATTERNING - A method for making a semiconductor device includes forming a first mask pattern on a device layer, forming a second mask pattern on the first mask pattern, etching the device layer not covered by the first and second mask patterns to thereby form a first trench, trimming the first mask pattern to form an intermediate mask pattern, depositing a material layer to fill the first trench, polishing the material layer to expose a top surface of the intermediate mask pattern, removing the intermediate mask pattern to form an opening, etching the device layer through the opening to thereby form a second trench. | 2011-06-30 |
20110159692 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A method for fabricating semiconductor device includes forming a nitride pattern and a hard mask pattern over a substrate, forming a trench by etching the substrate using the hard mask pattern as an etch barrier, forming an oxide layer filling the trench, performing a planarization process on the oxide layer until the nitride pattern is exposed, and removing the nitride pattern though a dry strip process using a plasma. | 2011-06-30 |
20110159693 | METHOD FOR FABRICATING HOLE PATTERN - A method for fabricating a hole pattern includes forming a first hard mask layer over an etch target layer, forming a second hard mask pattern over the first hard mask layer, which are patterned to be a line type in a first direction and have a selective etch ratio to the first hard mask layer, forming a third hard mask layer over the first hard mask layer to bury a space between adjacent ones of the second hard mask pattern, forming a photoresist pattern over the third hard mask layer, which is patterned to be a line type in a second direction; etching the third hard mask layer using the photoresist pattern to form a third hard mask pattern, removing the photoresist pattern, and etching the first hard mask layer using the second and third hard mask patterns. | 2011-06-30 |
20110159694 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A method for fabricating a semiconductor device includes: providing a substrate, forming an insulation layer, an adhesive layer, and a photoresist pattern, etching the adhesive layer using the photoresist pattern as an etch barrier, and wet etching the insulation layer using the etched adhesive layer and the photoresist pattern as etch barriers. | 2011-06-30 |
20110159695 | METHOD FOR MANUFACTURING MASK - Openings are formed in first and second mask layers. Next, diameter of the opening in the second mask layer is enlarged so that the diameter of the opening in the second mask layer becomes larger by a length X than diameter of the opening in the first mask layer. Thereafter, mask material is formed into the opening in the second mask layer, to form a cavity with a diameter X within the opening in the second mask layer. There is formed a mask which includes the second mask layer and the mask material having therein opening including the cavity. | 2011-06-30 |
20110159696 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES - A method of manufacturing semiconductor devices comprises forming an etch target layer and auxiliary patterns over a semiconductor substrate, forming spacers on sidewalls of the auxiliary patterns, removing the auxiliary patterns, performing an etch process to change both corners of upper portions of the spacers to be symmetrical to one another, and patterning the etch target layer by using the spacers. | 2011-06-30 |
20110159697 | ETCHING METHOD AND ETCHING APPARATUS - There are provided an etching method and an etching apparatus suitable for etching an antireflection coating layer by using a resist film as a mask. The etching method includes forming the antireflection coating layer (Si-ARC layer) on an etching target layer; forming a patterned resist film (ArF resist film) on the antireflection coating layer; and forming a desired pattern on the antireflection coating layer by introducing an etching gas including a CF | 2011-06-30 |
20110159698 | Photoresist Processing Methods - A photoresist processing method includes treating a substrate with a sulfur-containing substance. A positive-tone photoresist is applied on and in contact with the treated substrate. The method includes selectively exposing a portion of the photoresist to actinic energy and developing the photoresist to remove the exposed portion and to form a photoresist pattern on the substrate. The treating with a sulfur-containing substance reduces an amount of residual photoresist intended for removal compared to an amount of residual photoresist that remains without the treating. | 2011-06-30 |
20110159699 | LINE-EDGE ROUGHNESS IMPROVEMENT FOR SMALL PITCHES - A method for mitigating line-edge roughness on a semiconductor device. The method includes line-edge roughness mitigation techniques in accordance with embodiments of the present invention. The techniques include: reducing the SiON film thickness below a conventional thickness; increasing the photoresist thickness above a conventional thickness; etching the SiON film with an etch bias power less than a conventional wattage amount with an overetch percentage less than a conventional overetch percentage; removing the SiON film layer immediately after completion of the amorphous carbon film layer etching; and lowering the lower electrode temperature below a conventional temperature | 2011-06-30 |
20110159700 | FILM FORMATION SYSTEM AND FILM FORMATION METHOD - A film formation system and a film formation method are disclosed. The film formation method includes the following steps performed in the film formation system that includes a container containing liquid, a water draining means for draining the liquid, a ring-shaped component installed in the container, and a carrying component installed in the liquid in the container for carrying at least a substrate: enabling the carrying component in the liquid and enabling the ring-shaped component to float on the liquid; when a film layer that is composed of nano-spheres is formed on the liquid, locating the film layer in a ring of the ring-shaped component; and removing the liquid, allowing the film layer to move downward in accordance with the ring-shaped component and be formed on the substrate, thereby preventing the nano-spheres from contacting an inner wall of the container and bursting, through the installation of the ring-shaped component. | 2011-06-30 |
20110159701 | CHEMICAL LIQUID SUPPLY NOZZLE AND CHEMICAL LIQUID SUPPLY METHOD - The present disclosure provides a chemical liquid supply nozzle capable of suppressing the drying process of chemical liquid with a low cost. The chemical liquid supply nozzle is provided with a cutoff valve and a suction unit that sucks chemical liquid to a suction flow path at a nozzle main body connected to a front end of flow path member. Accordingly, the chemical liquid remaining at the downstream side of the cutoff valve after the chemical liquid is discharged, is sucked toward the upstream side of the cutoff valve and removed, to thereby suppress the drying and solidifying process of the chemical liquid at the chemical liquid flow path. Also, there is no need to block the chemical liquid flow path by sucking thinner at the downstream side of chemical liquid flow path, and the number of dummy dispense may be reduced, thereby reducing an overall operation cost of the process. | 2011-06-30 |
20110159702 | FILM DEPOSITION APPARATUS AND FILM DEPOSITION METHOD - A film deposition apparatus for depositing a film on a substrate by performing a cycle of alternately supplying at least two kinds of reaction gases that react with each other on the substrate to produce a layer of a reaction product in a vacuum chamber is disclosed. The film deposition apparatus includes a ring-shaped locking member that may be provided in or around a wafer receiving portion of a turntable in which the substrate is placed, in order to keep the substrate in the substrate receiving portion. | 2011-06-30 |
20110159703 | DIELECTRIC FILM GROWTH WITH RADICALS PRODUCED USING FLEXIBLE NITROGEN/HYDROGEN RATIO - Methods of forming dielectric layers are described. The method may include the steps of mixing a silicon-containing precursor with a radical-nitrogen precursor, and depositing a dielectric layer on a substrate. The radical-nitrogen precursor is formed in a remote plasma by flowing hydrogen (H | 2011-06-30 |
20110159704 | ELECTRICAL POWER RECEPTACLE WITH ROTATABLE USB JACKS - Disclosed is an electrical power receptacle with rotatable USB jacks comprising a main body including at least one shaft section; at least one electrical outlet assembly being disposed on the main body; a rotating member, coupled to the main body via the shaft section, being rotatable along the shaft section, and a rotational angle thereof being determined with respect to structural designs; and at least one USB jack assembly, being disposed on the rotating member and rotatable along with the rotational movement of the rotating member. An electric plug assembly with conducting prongs is disposed on the main body for connecting with the public electrical power distribution system. Thus, by adjusting the direction of rotation in accordance with the USB jack assemblies according to the present invention, the restriction in application range thereof decreases. | 2011-06-30 |
20110159705 | APPARATUS FOR PRODUCING A CONNECTION - An apparatus for producing a connection between an electric or electronic appliance and a cable is described and which includes magnetic elements which produce both a holding force, and at the same time, provide approximate guidance, and exact centering so as to reliably avoid electric shorts and insure correct contact-making, between the electric or electronic appliance and the cable. | 2011-06-30 |
20110159706 | CONNECTOR ASSEMBLY - A connector assembly includes a first connector, a second connector, and a fixing structure for fixing the second connector on the first connector. The first connector includes a main body and a plurality of contact terminals positioned on the main body. The second connector includes a main body and a plurality of contact terminals for contacting the contact terminal of the first connector positioned on the main body. The fixing structure includes a first magnetic member fixed on the main body of the first connector and a first receiving member fixed on the main body of the second connector received in the first magnetic member to fix the second connector on the first connector in a specific orientation. | 2011-06-30 |
20110159707 | CONNECTOR ASSEMBLY - A connector assembly includes a peripheral component interconnect express (PCI-E) connector and a fixing member. A groove is defined in the PCI-E connector and extends through an end of the PCI-E connector. The fixing member is fixed to the end of the PCI-E connector. A receiving slot is defined in the fixing member communicating with the groove of the PCI-E connector. The fixing member cooperates with the PCI-E connector to receive and protect a PCI-E card which has a length greater than the length of the PCI-E connector. | 2011-06-30 |
20110159708 | COAXIAL MICROSWITCH CONNECTOR - A coaxial microswitch connector includes a metal housing, an upper insulating cover, an elastic terminal, a fixed terminal and a lower insulating cover; the elastic terminal has a first fixed sheet and a first contact sheet, where two sides of the first contact sheet are respectively connected to an elastic sheet; the lowermost end of the elastic sheet has a bended section bended outward horizontally therefrom which can have contact with an upper end face of the lower insulating cover horizontally, thereby not piercing in the lower insulating cover; two buckling tabs of the upper insulating cover are respectively engaged with two buckling grooves of the lower insulating cover, ensuring that the upper insulating cover, the elastic terminal, the fixed terminal and the lower insulating cover are coupled to one another stably. | 2011-06-30 |
20110159709 | ELECTRICAL CONNECTOR WITH IMPROVED FEATURE FOR SECURING SOLDER BALL THEREON - An electrical connector includes an insulative housing with a number of passageways, a number of electrical contacts received in the passageways and a number of solder balls disposed on the electrical contacts respectively. The electrical contact or together with the insulative housing form a retention feature for pre-setting the solder balls thereon. | 2011-06-30 |
20110159710 | ARRAY OF ELECTRICAL CONNECTORS HAVING OFFSET ELECTRICAL CONNECTORS - An array of electrical connectors is provided having a first plurality of electrical connectors configured to be mounted on a substrate, and a second plurality of electrical connectors configured to be mounted on the substrate at a location adjacent the first plurality of electrical connectors, such that the first plurality of electrical connectors is offset with respect to the second plurality of electrical connectors along an insertion direction. | 2011-06-30 |
20110159711 | CAPACITIVELY COUPLED CONNECTOR FOR FLEXIBLE PRINTED CIRCUIT APPLICATIONS - A FPC connector has an insulative housing with a general U-shape that defines an opening that receives a free end of a length of FPC. The housing has a base and two leg portions and the leg portions have engagement arms that hold engage the FPC free end when inserted into the connector housing opening. A cover of the connector housing has press members that press the FPC and dielectric barriers thereon into contact with contacts on a circuit board. | 2011-06-30 |
20110159712 | Contact pin structure - The present invention relates to a structural improvement for a contact pin, in which a contact pin is provided with a main body, from one end of which extends a connecting portion, and a contact portion extends from the other end. The contact portion is provided with tapered sections which form a terminal. Accordingly, when using the contact pin, combination of the tapered sections and the terminal of the contact portion enables accommodating electrical portions of different types of batteries and forming a corresponding electrical contact therewith, thereby achieving practical advancement enabling universal use of the single contact pin to accommodate many kinds of specifications. | 2011-06-30 |
20110159713 | ACRYLIC INSULATING ADHESIVE - A radical-polymerizable acrylic insulating adhesive for NCF-bonding an electronic part to a circuit board includes a (meth)acrylate monomer, a film-forming resin, an inorganic filler, a silane coupling agent, and a radical polymerization initiator. The amount of the inorganic filler is 70 to 160 parts by mass with respect to a total of 100 parts by mass of the (meth)acrylate monomer and the film-forming resin. A radical polymerization cured product of the acrylic insulating adhesive exhibits a glass transition temperature of 150 to 185° C., a linear expansion coefficient (α1) of 30 to 35 ppm in a temperature range that is lower than the glass transition temperature, and a linear expansion coefficient (α2) of 105 to 125 ppm in a temperature range that is equal to or higher than the glass transition temperature. Further, α2/α1 is greater than or equal to 3.4. | 2011-06-30 |
20110159714 | SOCKET FOR ELECTRICAL COMPONENT - In an electrical component socket, an electrical component can be removed easily even if a fixed contact potion bites into an electrical component terminal. In the electrical component socket ( | 2011-06-30 |
20110159715 | ELECTRICAL CONNECTOR WITH EJECTOR - An electrical connector ( | 2011-06-30 |
20110159716 | ELECTRICAL CARD CONNECTOR - An electrical card connector ( | 2011-06-30 |
20110159717 | CONNECTION STRUCTURE - A connection structure includes a first terminal housing with a plurality of first connecting terminals aligned and accommodated therein, a second terminal housing with a plurality of second connecting terminals aligned and accommodated therein, a plurality of insulation members aligned and accommodated in the first terminal housing, two connecting members disposed to sandwich a stack structure of the plurality of the first connecting terminals, the plurality of the second connecting terminals and the plurality of the insulation members at a top end and a bottom end of the stack structure, and a synchronizing member to allow the two connecting members to press synchronously the adjacent insulation member. | 2011-06-30 |
20110159718 | CARD EDGE CONNECTOR - A card edge connector assembly comprises: a memory module comprising a first side portion, an opposite second side portion, and a plurality of conductive pads on a lower portion thereof, the lower portion extending from the first side portion to the second side portion; a card edge connector comprising an insulative housing having a first end, an opposite second end and a central slot extending from the first end to the second end to receive the lower portion of the memory module; and means identifying the corresponding memory module to be inserted into the central slot, comprising a key notch being defined at the second side portion of the memory module, and an arc pivot extending from the second end to engage with the key notch to guide the memory module to be rotated into the central slot along an anticlockwise direction. | 2011-06-30 |
20110159719 | FLAT-CABLE CONNECTOR, PRODUCTION PROCESS THEREOF, AND LOCKING DEVICE - A flat cable connector includes an insulated housing, a plurality of conductor contacts regularly arranged in the housing at a predetermined interval pads of a flat cable are connected with the contacts, respectively, when the flat cable is inserted into the housing. The conductor contact includes a stationary portion secured to the housing and a movable portion integrally formed with the stationary portion being resiliently moved with respect to the stationary portion, the conductive pads of the flat cable come into contact therewith. | 2011-06-30 |
20110159720 | ELECTRICAL CONNECTOR ASSEMBLY, PLUG, AND SOCKET - An electrical connector includes a socket and a plug. The socket includes a sidewall and defines at least one latching hole. One end of each of the at least one latching hole is defined in the sidewall. The plug includes a main body, at least one latching mechanism, and a switch. The main body includes a first surface and a second surface. The main body defines at least one receiving space, a first groove, and a second groove. The at least one latching mechanism is partially received in the receiving space. The switch is positioned in the second groove and partially external to the first groove. The at least one latching mechanism can slide to engage the switch by an external force, and the switch can cause the at least one latching mechanism to disengage from the switch by an external force. | 2011-06-30 |
20110159721 | ELECTRICAL TERMINAL DEVICE - An electrical terminal device includes an insulation displacement connector section and a terminal section. The insulation displacement connector section has a connector slot formed therein which is configured to receive a first wire conductor. The terminal section is connected to the insulation displacement connector section and includes at least two beams configured to receive a second wire conductor. A distal end of at least one beam is displaced from a first position to a second position upon receipt by the at least two beams of the second wire. The at least one beam has a spring memory urging the distal end thereof toward the first position so that the at least two beams cooperate to hold the second wire. The insulation displacement connector section and the terminal section are made of a conductive material to provide an electrical connection between the first and second wires. | 2011-06-30 |
20110159722 | CONNECTOR BLOCK - The invention relates to a connector block ( | 2011-06-30 |
20110159723 | A PLUG WITH A REGULATION PIN TO NOTIFY THE REQUIRED VOLTAGE | 2011-06-30 |
20110159724 | WIRE INSERTION AND CONNECTION STRUCTURE - A wire insertion and connection structure includes a plurality of wires that extends in a substantially parallel manner. Each wire includes a conductor and an insulation layer enclosing the conductor. Each wire has an end forming an insertion end, and each conductor has a portion exposed outside the respective insertion end to form a conductive engagement section. The insertion ends of the wires are coupled to an insertion assisting unit. The insertion assisting unit forms a plurality of positioning slots, which is distributed in a surface of the insertion assisting unit in a substantially parallel manner and spaced from each other by insulation sections. The conductive engagement sections of the conductors are respectively positionable in the positioning slots, whereby when the insertion ends of the wires and the insertion assisting unit are inserted into a receiving compartment defined in a connector, the conductive engagement sections of the conductors of the wires are respectively positioned on and engaging metal conductive elements received and set inside the receiving compartment of the connector. | 2011-06-30 |
20110159725 | BRIDGING ADAPTER - Apparatus for connecting an inoperable phase of electricity with an operable phase of electricity includes an electricity meter, a socket, and an electricity meter adapter having at least one first connector for a first phase of electricity and at least one second connector for a second phase of electricity, a bridging adapter connected between the at least one first connector for a first phase of electricity and the at least one second connector for a second phase of electricity thereby connecting together the first and second phases of electricity, wherein the electricity meter adapter is connected with the socket and the electricity meter is connected with the electricity meter adapter. | 2011-06-30 |
20110159726 | FOLDABLE PATCH PANEL - The present invention provides a foldable patch panel. The foldable patch panel includes a first frame, a second frame, and a pivot unit. The pivot unit is connected to the first frame and the second frame, respectively, so that spinning center of the first frame and the second frame is the pivot unit. Besides, the first frame and the second frame are separably engaged with each other and form an obtuse angle. | 2011-06-30 |
20110159727 | POWER DISTRIBUTION DEVICE - A power distribution device may include an input port configured to receive power form a power source, a plurality of sockets arranged along a first plane to from a matrix, each of the plurality of sockets including first and second terminals, the first terminals coupled to the input port, the first and second terminals of each of the plurality of sockets configured to deliver the power therebetween upon coupling to a connection device, and a plurality of output ports aligned along a second plane, each of the plurality of output ports coupled to the second terminal of one of the plurality of sockets, the plurality of output ports configured to distribute the power to one or more power loads. | 2011-06-30 |
20110159728 | Wire harness and method of manufacturing the same - A wire harness includes a plurality of cables arranged in parallel, and a connector including a housing to which end portions of the plurality of cables are connected. Air-tightness between an air-tight block and the cables is maintained by inserting a melting member into cable insertion holes through a first insertion part, vibrating the melting member and pressing the melting member against a first press receiving part formed at an inner wall surface of the cable insertion holes such that a front end portion of the melting member in contact with the first press receiving part is melted into a melt resin to allow the melt resin to be poured into a gap between the cables and the air-tight block and a periphery of the cables to be covered with the melt resin. | 2011-06-30 |
20110159729 | Wire harness and method of manufacturing the same - A wire harness includes a plurality of cables arranged in parallel, and a connector including a housing to which end portions of the plurality of cables are connected. An air-tight block includes two closing parts, an insertion part, a press receiving part, and an air escape opening part that opens from a cable insertion hole between the closing parts toward an outside of the air-tight block. Air-tightness between the air-tight block and the cables is maintained by a first step that a melting member is vibrated and pressed to the press receiving part to have a melt resin, which is poured into a gap between the closing parts, and a periphery of the cables is covered with the melt resin, a second step that the air escape opening part is closed, and a third step that the cables are pressed by the melt resin poured into the gap. | 2011-06-30 |
20110159730 | SOFT HEAD STRUCTURE IN THE DECORATION LAMP STRING - The present invention is to provide an improvement of soft head structure in the decoration lamp string. It mainly is that the soft head is set with two groove rails on the upper and lower wall surfaces of accommodating grooves for laying the conductive wires, and the conductive copper plates in conductive wires are put in the accommodating grooves by a vertical way from the groove rails and snap-clip on the linking grooves of accommodating grooves. Therefore, the combining of conductive wires and soft head can be suitable to be operated through the automatic machinery. | 2011-06-30 |
20110159731 | Wire harness and method of manufacturing the same - A wire harness includes a plurality of cables arranged in parallel and a connector comprising a housing to which end portions of the plurality of cables are connected. The housing has an air-tight block at a side thereof that the plurality of cables are connected. The air-tight block further includes two closing parts for closing a space between the air-tight block and the cables at two places along a longitudinal direction of the cables, and for defining a part of a cable insertion hole. A metallic member protruding outwardly in a radial direction of the cables is fixed by swaging to a periphery of each of the cables between both of two closing parts for restricting a movement of the cables along the longitudinal direction in a pulling force or pressing force of the cables. | 2011-06-30 |
20110159732 | CONNECTOR - The invention provides a connector including a body having insulating properties, a conductive shield case surrounding the body, and a first terminal group arrayed in a line in the body. The first terminal group includes a first terminal and a second terminal. The second terminal is disposed adjacent to the first terminal and having a higher impedance than the first terminal. The shield case includes an adjacent portion that is adjacent to at least a portion of the second terminal and on an opposite side to the first terminal. At least one of the portion of the second terminal and the adjacent portion of the shield case is extended in width so as to shorten a distance between the portion of the second terminal and the adjacent portion of the shield case in accordance with an impedance difference between the first terminal and the second terminal. | 2011-06-30 |
20110159733 | VERTICAL ELECTRICAL CONNECTOR - An electrical connector ( | 2011-06-30 |
20110159734 | ELECTRICAL CONNECTOR - An electrical connector includes an insulating body, a plurality of terminal units, a plurality of insulating lump units, and a plurality of shielding units. The insulating body has a plurality of receiving holes arranged thereon. Each of the insulating lump units has a terminal fixing slot for mounting the corresponding terminal unit there-through. Each of the shielding units is respectively disposed on the periphery of the corresponding insulating lump unit without contacting the terminal unit mounted thereon. The terminals are disposed in the receiving holes of the insulating body. The insulating lump units can prevent shorting between the terminals and the shielding units, while the shielding units can enhance the anti-EMI capability of the instant connector. | 2011-06-30 |
20110159735 | eSATA CONNECTOR STRUCTURE - An improved eSATA connector structure includes a base, a circuit board, a chassis and a casing. The base includes a containing space, a retaining wall formed at a front end of the containing space, and an opening formed on the retaining wall. The circuit board is installed in the containing space and passed through the opening and out of the retaining wall, and at least one surface of the circuit board has a plurality of conductive pins, and a transmission line segment is extended from an end of each conductive pin and electrically coupled to a conductive terminal. The chassis is installed in the containing space and includes through holes for passing out conductive terminals, and the casing is installed at the exterior of the base and includes a hollow main body, and a port is formed at a front end of the hollow main body for exposing the circuit board. | 2011-06-30 |
20110159736 | APPLIANCE FOR CONNECTING HIGH-CURRENT ELECTRIC APPARATUSES, PRIMARILY CONDUCTOR BARS - Appliance for connecting high-current electric apparatuses, primarily conductor bars, comprising an electrically conducting body (31) and at least one connection piece (22), where the connection piece (22) has a seat arranged to encircle the body (31) in a concentric manner. A preferably frustum-shaped retention portion having monotonic decreasing cross-sectional size is disposed on the body, the retention portion being retained in the seat by frictional connection. The retention portion has increased contact surface, and in specific cases the body (31) is adapted for receiving a cable end. The invention is essentially characterised by that the increased contact surface is constituted by indentations implemented as ribs arranged perpendicular to the axis of the body (31) and/or as grooves extending in the direction of the generator of the body (31), where the indentations undergo different amounts of local deformation along the axis of the body (31) as the appliance is pressed together. | 2011-06-30 |
20110159737 | ELECTRICAL CONNECTOR CAPABLE OF INTERCONNECTING ELECTRONIC DEVICES HAVING DIFFERENT CONDUCTIVE LEADS ARRANGEMENTS - An electrical connector includes an upper housing formed with a plurality of upper through holes therein, and a lower housing located under the upper housing and formed with a plurality of lower through holes therein corresponding to the upper through holes, respectively. A plurality of contacts are received within the upper housing and the lower housing, and respectively has an upper contacting portion and a lower contacting portion. At least some of the contacts extend with angles of inclination varying in sequence so that a distance defined by two neighboring lower contacting portions is larger than that defined by corresponding two neighboring upper contacting portions. | 2011-06-30 |
20110159738 | CONNECTOR - A reinforcing plate fixed to a connector main body is arranged on a bottom surface of the connector main body to come into surface contact with a surface of a substrate while a plurality of holes is provided on a surface, which contacts the substrate, of the reinforcing plate. When the reinforcing plate is soldered to the substrate, therefore, a solder wraps around not only a peripheral edge of the reinforcing plate but also an edge of each of the holes so that a soldering portion between the reinforcing plate and the substrate can be sufficiently ensured. | 2011-06-30 |
20110159739 | ELECTRICAL CARD CONNECTOR - An electrical card connector ( | 2011-06-30 |
20110159740 | CAGE FOR ELECTRICAL CONNECTOR AND CONNECTOR ASSEMBLY USING THE CAGE - A cage for shielding and handling electrical connectors, the cage has a pair of sidewalls each having a first and second longitudinal portions. A transverse link pierce joins the first longitudinal portions to form a single piece. Support members extend from the sidewalls and disposed in the space between the second longitudinal portions. A portion of the electrical connector is seated onto the support members, with the mounting portions of the contact terminals of the connector exposed. The electrical connector can be carried and positioned onto a PCB during the assembling process, by handling the cage only. The electrical connector is movable relative to the cage along vertical direction when contact terminals are brought into contact with the PCB to ensure the coplanary of contact terminals and PCB circuits. The present invention ensures the positioning accuracy of the connector onto the PCB and eases handling and assembling process. | 2011-06-30 |
20110159741 | CONNECTOR ASSEMBLY FEATURED WITH QUICK-RELEASE MECHANISM - An electrical connector includes an insulative housing and a first and second contact received in the housing. The housing defines a mating face, a rear face opposite to the mating face, and a supporting face disposed between the mating and rear faces. A mating cavity recesses from the mating face towards the supporting face and disposed therebetween, and a receiving groove recesses forwards from the rear face and runs through the supporting face to communicate with the mating cavity. The first contact is retained in the receiving groove with an elastic contacting porting running through the supporting face and projecting into the mating cavity. The second contact is retained in the housing and includes two opposite second contacting portions disposed at two opposite sides of the elastic contacting portion of the first contact. A mating connector can be rotated to disengage from the electrical connector. | 2011-06-30 |
20110159742 | STRUCTURE OF BULB SOCKET OF DECORATIVE LIGHT STRING - Disclosed is a structure of bulb socket of decorative light string. The socket forms an accommodation chamber for accommodating electrical wires. The accommodation chamber has top and bottom walls respectively forming opposing channels. The electrical wires have conductive plates mounted thereto and the conductive plates are insertable, in a vertical condition, through the channels into the accommodation chamber for being subsequently received and retained in retention slots formed inside the accommodation chamber. This allows the operation of assembling the socket and the electrical wires to be performed automatically with machines. | 2011-06-30 |
20110159743 | EYE-OF-THE-NEEDLE MOUNTING TERMINAL - An electrical contact is provided having an eye-of-the-needle (EON) mounting terminal that has a reduced stub capacitance with respect to conventional eye-of-the-needle mounting terminals. | 2011-06-30 |
20110159744 | ELECTRICAL CONNECTOR HAVING IMPEDANCE TUNING RIBS - An electrical connector is provided that includes a connector housing supporting a plurality of electrical contacts. The electrical contacts are edge-coupled along a column direction, and spaced apart along a row direction so as to define a space that is defined by adjacent electrical contacts along the row direction. The electrical connector includes at least one rib disposed in the space. The rib has a dielectric constant greater than air such that the dielectric constant of the space is increased with respect to a substantially identical space that is filled only with air. The increased dielectric constant reduces the impedance of the electrical connector. | 2011-06-30 |
20110159745 | ELECTRICAL CONNECTOR WITH IMPROVED CONTACTS ARRANGEMENT - An electrical connector ( | 2011-06-30 |
20110159746 | UPRIGHT ELECTRICAL CONNECTOR - An electrical connector ( | 2011-06-30 |
20110159747 | ELECTRICAL CONNECTOR HAVING MATING INTERFACE CONFIGURED BY COMPOSITE TONGUE MEMBER - An electrical connector includes an insulative housing defining a receiving cavity thereof, a tongue member retained to the receiving cavity, and a shell surrounding the housing. The tongue member includes first and second tongue modules interlocked with each other, the first tongue module retains a plurality of first contacts thereof and the second tongue module retains a plurality of second contacts thereof. The first tongue module defines a retaining slot at a front portion thereof and a blocking plate surrounding the retaining slot, the second tongue module defines at least one retaining portion received in the retaining slot and supported by the blocking plate. | 2011-06-30 |
20110159748 | TERMINAL CONNECTOR ASSEMBLY FOR A MEDICAL ELECTRICAL LEAD - An IS-4 terminal connector assembly includes three terminal electrodes positioned over an inner tubular member such that they are radially offset from one another. Each of the terminal ring electrodes are configured such that they can withstand both tensile and cyclical bending loads with minimal compromise in their outer geometry. Additionally, each of the terminal electrodes is configured such that they have both an inner and outer geometry that facilitates adequate insulation between a select terminal electrode and an adjacent conductor. Additionally, each of the terminal ring electrodes is configured such that they facilitate an external approach to staking a cable conductor. | 2011-06-30 |
20110159749 | ELECTRICAL SCREW TERMINAL, BLOCK COMPRISING ONE SUCH ELECTRICAL TERMINAL AND ELECTRICAL APPARATUS COMPRISING ONE SUCH TERMINAL BLOCK - An electrical screw terminal comprising a metal tunnel through which an elongate passage runs designed to receive a connection strip and comprising a tapped hole passing through a wall of said tunnel. The terminal comprises a telescopic screw passing through the tapped hole and comprising an operating head designed to be actuated to command said screw, a tightening head designed to clamp an electrical conductor and the connection strip. The telescopic screw comprises at least a first section having an external thread designed to collaborate with the tapped hole and having an internal thread designed to collaborate with an external thread of at least a second cylindrical section. The operating head comprises a single driving profile to drive said sections together in rotation via drive means. | 2011-06-30 |
20110159750 | ELECTRICAL DEVICE WITH CONTACT ASSEMBLY - The invention relates to an electrical device with a contact assembly | 2011-06-30 |
20110159751 | Pedal propulsion system - A pedal propulsion system for a transportation conveyance comprises a pedal drive assembly having a teethed synchronous drive belt engaged between a drive sprocket and a transfer sprocket. The rotational axes of the transfer sprocket and the drive sprocket are oriented at an angle of up to 90 degrees from one another. The rotation of the transfer sprocket is conveyed to a propeller drive assembly mounted at the rear of a water craft by an elongated rotary shaft and a flexible transmission drive cable to facilitate removable of the propulsion system from the transportation conveyance. | 2011-06-30 |
20110159752 | WATER JET PROPULSION WATERCRAFT - A water jet propulsion watercraft includes a pair of jet propulsion devices arranged right and left of a hull centerline. Each jet propulsion device includes a jetting unit arranged to jet water, a deflector supported on the hull and arranged to rotate to right and left, the deflector including a forward drive jet port and a reverse drive jet port. Each jet propulsion device includes a bucket arranged to change between a forward drive position and a reverse drive position. The bucket includes a reflecting surface arranged to reflect the water jetted from the forward drive jet port when the bucket is at the reverse drive position, and an auxiliary nozzle including an entrance opened to the reflecting surface and arranged to jet water introduced into the entrance along a hull right/left direction. The entrance of the auxiliary nozzle is spaced away from the forward drive jet port in the hull right/left direction and does not oppose the forward drive jet port in a state where the bucket is arranged at the reverse drive position and the deflector is arranged at the straight drive position. | 2011-06-30 |
20110159753 | PERSONAL WATERCRAFT - A personal watercraft comprises an oil cooler including an oil cooling passage through which oil circulating inside an engine flows and a coolant passage through which coolant for cooling the oil in the oil cooling passage flows; a first oil passage through which the oil flowing toward the oil cooling passage flows; a second oil passage through which the oil flowing out from the oil cooling passage flows; a bypass passage connecting the first oil passage to the second oil passage so as to bypass the oil cooling passage; and a valve configured to open and close the bypass passage; wherein the valve opens the bypass passage when the temperature of the oil is lower than a predetermined value and closes the bypass passage when the temperature of the oil is not lower than the predetermined value. | 2011-06-30 |
20110159754 | Locator device for water-skis or water boards - A water-ski or water board locating device comprised of a buoyant body detachably secured to the top of the ski or board, behind the boot, by means of a base plate and the boot's binding screws. In this position, the device effectively uprights the water-ski or water board when floating unattended. By uprighting the water-ski or water board, the device increases its visibility, aiding in the recovery of the ski or board and increasing its safety as a floating obstacle. | 2011-06-30 |