14th week of 2013 patent applcation highlights part 12 |
Patent application number | Title | Published |
20130082290 | LIGHT EMITTING DEVICES HAVING LIGHT COUPLING LAYERS WITH RECESSED ELECTRODES - A light emitting device comprises a first layer of an n-type semiconductor material, a second layer of a p-type semiconductor material, and an active layer between the first layer and the second layer. A light coupling structure is disposed adjacent to one of the first layer and the second layer. In some cases, the light coupling structure is disposed adjacent to the first layer. An orifice formed in the light coupling structure extends to the first layer. An electrode formed in the orifice is in electrical communication with the first layer. | 2013-04-04 |
20130082291 | Light Emitting Devices with Low Packaging Factor - A light emitting diode that when encapsulated within an overmolded hemispherical lens has a packaging factor less than 1.2. | 2013-04-04 |
20130082292 | Light Emitting Diode Packaging Structure and Method of Fabricating the Same - A method of fabricating alight emitting diode packaging structure provides a metallized ceramic heat dissipation substrate and a reflector layer, and the metallized ceramic heat dissipation substrate is bonded with the reflector layer through an adhesive. The reflector layer has an opening for a surface of the metallized ceramic heat dissipation substrate to be exposed therefrom. The reflector layer may be formed with ceramic or polymer plastic material, to enhance the refractory property and the reliability of the package structure. In addition, the packaging structure of the present invention may make use of existing packaging machine for subsequent electronic component packaging, without increasing the fabrication cost. | 2013-04-04 |
20130082293 | LED PACKAGE DEVICE - An LED package device comprises a substrate, an LED chip, a reflector and a covering layer. The covering layer completely encapsulates the reflector, the LED chip and the substrate to enhance the robustness and unitary integrity of the LED package device; two electrodes comprising two bulges penetrate through the covering layer to reach a base of the LED package device. The LED package device is able to function as a side emitting type of LED package. Front sides of the two bulges are level with a front side of the LED package device and configured for being mounted to a printed circuit board and electrically connecting therewith. | 2013-04-04 |
20130082294 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD OF THE SAME - According to one embodiment, a light-emitting unit which emits light, a wavelength conversion unit which includes a phosphor and which is provided on a main surface of the light-emitting unit, and a transparent resin which is provided on top of the wavelength conversion unit, are prepared. The transparent resin has a greater modulus of elasticity and/or a higher Shore hardness than the wavelength conversion unit. | 2013-04-04 |
20130082295 | LIGHT-EMITTING ELEMENT INCLUDING LIGHT-EMITTING LAYER SANDWICHED BETWEEN TWO SEMICONDUCTOR LAYERS - A light-emitting element includes a sapphire substrate as a substrate, a light-emitting layer arranged on the substrate in a state of being sandwiched in a thickness direction between an n-type semiconductor layer and a p-type semiconductor layer as two semiconductor layers having conductivity types different from one another, and a transparent electrode layer arranged so as to overlap with p-type semiconductor layer as one of the two semiconductor layers located farther away from the substrate, and a flat layer of a transparent material having a higher refractive index than transparent electrode layer and provided so as to cover at least a part of an upper surface of transparent electrode layer, and a irregularity layer arranged on an upper side of said flat layer. | 2013-04-04 |
20130082296 | LED Device with Embedded Top Electrode - An LED device and a method of manufacturing, including an embedded top electrode, are presented. The LED device includes an LED structure and a top electrode. The LED structure includes layers disposed on a substrate, including an active light-emitting region. A top layer of the LED structure is a top contact layer. The top electrode is embedded into the top contact layer, wherein the top electrode electrically contacts the top contact layer. | 2013-04-04 |
20130082297 | ULTRAVIOLET SEMICONDUCTOR LIGHT-EMITTING ELEMENT - An ultraviolet semiconductor light-emitting element comprises a light-emitting layer which is arranged between an n-type nitride semiconductor layer and a p-type nitride semiconductor layer, an n-electrode that is in contact with the n-type nitride semiconductor layer, and a p-electrode that is in contact with the p-type nitride semiconductor layer. The p-type nitride semiconductor layer is provided with a p-type contact layer that has a band gap smaller than that of the light-emitting layer and is in ohmic contact with the p-electrode. A depressed part is formed in a reverse side surface of a surface of the p-type nitride semiconductor layer that faces the light-emitting layer so as to avoid a formation region on which the p-electrode is formed. A reflective film that reflects ultraviolet light emitted from the light-emitting layer is formed on an inner bottom surface of the depressed part. | 2013-04-04 |
20130082298 | LED LIGHT DISPOSED ON A FLEXIBLE SUBSTRATE AND CONNECTED WITH A PRINTED 3D CONDUCTOR - An example includes subject matter (such as an apparatus) comprising a planar substrate including a first surface that is planar, at least one bare light emitting diode (“LED”) die coupled to the substrate and conductive ink electrically coupling the at least one bare LED die, wherein the conductive ink is disposed on the substrate and extends onto a surface of the LED that is out-of-plane from the first surface. | 2013-04-04 |
20130082299 | LIGHT EMITTING DEVICE - Disclosed is a light emitting device including a substrate, a light emitting structure arranged on the substrate, the light emitting structure including a first semiconductor layer, a second semiconductor layer and an active layer arranged between the first semiconductor layer and the second semiconductor layer, a first electrode electrically connected to the first semiconductor layer, and a second electrode electrically connected to the second semiconductor layer, wherein the light emitting structure has a top surface including a first side and a second side which face each other, and a third side and a fourth side which face each other. | 2013-04-04 |
20130082300 | OPTICAL SEMICONDUCTOR SEALING CURABLE COMPOSITION AND OPTICAL SEMICONDUCTOR APPARATUS USING THIS - There are provided an optical semiconductor sealing curable composition that provides a cured material having excellent transparency, and an optical semiconductor apparatus having an optical semiconductor device sealed using the cured material obtained by curing the optical semiconductor sealing curable composition. There is provided an optical semiconductor sealing curable composition containing: (A) a linear polyfluoro compound; (B) cyclic organosiloxane having an SiH group and a fluorine-containing organic group; (C) a platinum group metal catalyst; (D) cyclic organosiloxane having an SiH group, fluorine-containing organic group, and an epoxy group; and (E) cyclic organosiloxane having an SiH group, a fluorine-containing organic group, and a cyclic carboxylic acid anhydride residue. | 2013-04-04 |
20130082301 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A p-type base layer is selectively formed on a surface of an n-type drift layer; an n-type source layer is selectively formed on a surface of the p-type base layer; and a p-type contact layer is formed to be in contact with the selectively-formed n-type source layer. A p-type counter layer is formed to be in contact with the n-type source layer, so as to overlap the p-type contact layer, so as to be separated from an interface where the p-type base layer and the gate oxide film are in contact with each other, and to be shallower than the p-type base layer. Accordingly, switching destruction caused by process defects in an insulated gate semiconductor device is reduced. | 2013-04-04 |
20130082302 | SEMICONDUCTOR DEVICE - A semiconductor device comprises: a substrate having a first and second surface; trenches provided on the second surface; a gate electrode provided in each trench; a first-conductive-type emitter layer provided on the second surface and contacting with the trenches; and an emitter electrode provided on the second surface to extend in a longitudinal direction of the trenches, the emitter electrode having a non-contact portion partially provided in the first-conductive-type emitter layer. | 2013-04-04 |
20130082303 | HIGH THROUGHPUT EPITAXIAL LIFTOFF FOR RELEASING MULTIPLE SEMICONDUCTOR DEVICE LAYERS FROM A SINGLE BASE SUBSTRATE - A multilayered stack including alternating layers of sacrificial material layers and semiconductor material layers is formed on a base substrate. The thickness of each sacrificial material layer of the stack increases upwards from the sacrificial material layer that is formed nearest to the base substrate. Because of this difference in thicknesses, each sacrificial material layer etches at different rates, with thicker sacrificial material layers etching faster than thinner sacrificial material layers. An etch is performed that first removes the thickest sacrificial material layer of the multilayered stack. The uppermost semiconductor device layer within the multilayered stack is accordingly first released. As the etch continues, the other sacrificial material layers are removed sequentially, in the order of decreasing thickness, and the other semiconductor device layers are removed sequentially. | 2013-04-04 |
20130082304 | FinFET Device and Method Of Manufacturing Same - A semiconductor device and method for fabricating a semiconductor device is disclosed. An exemplary semiconductor device includes a substrate including a fin structure disposed over the substrate. The fin structure includes one or more fins. The semiconductor device further includes an insulation material disposed on the substrate. The semiconductor device further includes a gate structure disposed on a portion of the fin structure and on a portion of the insulation material. The gate structure traverses each fin of the fin structure. The semiconductor device further includes a source and drain feature formed from a material having a continuous and uninterrupted surface area. The source and drain feature includes a surface in a plane that is in direct contact with a surface in a parallel plane of the insulation material, each of the one or more fins of the fin structure, and the gate structure. | 2013-04-04 |
20130082305 | STRUCTURE OF A HIGH ELECTRON MOBILITY TRANSISTOR AND A FABRICATION METHOD THEREOF - An improved structure of the high electron mobility transistor (HEMT) and a fabrication method thereof are disclosed. The improved HEMT structure comprises a substrate, a channel layer, a spacing layer, a carrier supply layer, a Schottky layer, a first etch stop layer, a first n type doped layer formed by Al | 2013-04-04 |
20130082306 | ENHANCEMENT OF CHARGE CARRIER MOBILITY IN TRANSISTORS - Transistor devices including stressors are disclosed. One such transistor device includes a channel region, a dielectric layer and a semiconductor substrate. The channel region is configured to provide a conductive channel between a source region and a drain region. In addition, the dielectric layer is below the channel region and is configured to electrically insulate the channel region. Further, the semiconductor substrate, which is below the channel region and below the dielectric layer, includes dislocation defects at a top surface of the semiconductor substrate, where the dislocation defects are collectively oriented to impose a compressive strain on the channel region such that charge carrier mobility is enhanced in the channel region. | 2013-04-04 |
20130082307 | COMPOUND SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR - A compound semiconductor device includes a compound semiconductor laminated structure, a passivation film formed on the compound semiconductor laminated structure and having a through-hole, and a gate electrode formed on the passivation film so as to plug the through-hole. A grain boundary between different crystalline orientations is formed in the gate electrode, and a starting point of the grain boundary is located apart from the through-hole on a flat surface of the passivation film. | 2013-04-04 |
20130082308 | SEMICONDUCTOR DEVICES WITH RAISED EXTENSIONS - Transistor devices and methods of their fabrication are disclosed. In one method, a dummy gate structure is formed on a substrate. Bottom portions of the dummy gate structure are undercut. In addition, stair-shaped, raised source and drain regions are formed on the substrate and within at least one undercut formed by the undercutting. The dummy gate structure is removed and a replacement gate is formed on the substrate. | 2013-04-04 |
20130082309 | SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREOF - A method for fabricating a semiconductor device is disclosed. A strained material is formed in a cavity of a substrate and adjacent to an isolation structure in the substrate. The strained material has a corner above the surface of the substrate. The disclosed method provides an improved method for forming the strained material adjacent to the isolation structure with an increased portion in the cavity of the substrate to enhance carrier mobility and upgrade the device performance. The improved formation method is achieved by providing a treatment to redistribute at least a portion of the corner in the cavity. | 2013-04-04 |
20130082310 | Semiconductor Structure and Method for Manufacturing the Same - The invention provides a semiconductor structure, comprising a substrate, a semiconductor fin, a gate stack, source/drain regions and a semiconductor body, wherein: the semiconductor fin is located on the semiconductor body, and is connected with the semiconductor body, and both ends of the semiconductor body are connected with the substrate; the gate stack covers the central portion of the semiconductor fin, and extends to the surface of the substrate; and the source/drain regions are located at the end portions of the semiconductor fin; and wherein, cavities are formed in the substrate at both sides of the semiconductor fin, and an insulating material is filled into the cavities. Correspondingly, the invention further provides a method for manufacturing a semiconductor structure. By isolating the semiconductor body under the semiconductor fin from the substrate under the semiconductor body, not only the substrate region under the semiconductor fin is effectively reduced, but also the leakage current between the semiconductor device and the substrate is reduced, and the performance of the semiconductor device is improved. | 2013-04-04 |
20130082311 | SEMICONDUCTOR DEVICES WITH RAISED EXTENSIONS - Transistor devices and methods of their fabrication are disclosed. In one method, a dummy gate structure is formed on a substrate. Bottom portions of the dummy gate structure are undercut. In addition, stair-shaped, raised source and drain regions are formed on the substrate and within at least one undercut formed by the undercutting. The dummy gate structure is removed and a replacement gate is formed on the substrate. | 2013-04-04 |
20130082312 | Transistors, Methods of Manufacturing Thereof, and Image Sensor Circuits with Reduced RTS Noise - Transistors, methods of manufacturing thereof, and image sensor circuits with reduced random telegraph signal (RTS) noise are disclosed. In one embodiment, a transistor includes a channel disposed between two isolation regions in a workpiece. The channel has edge regions proximate the isolation regions and a central region between the edge regions. The transistor includes a gate dielectric disposed over the channel, and a gate disposed over the gate dielectric. The transistor includes a voltage threshold modification feature proximate the edge regions configured to increase a voltage threshold of the transistor proximate edge regions relative to the central region of the channel. | 2013-04-04 |
20130082313 | CMOS IMAGE SENSOR WITH RESET SHIELD LINE - Techniques and mechanisms to improve potential well characteristics in a pixel cell. In an embodiment, a coupling portion of a pixel cell couples a reset transistor of the pixel cell to a floating diffusion node of the pixel cell, the reset transistor to reset a voltage of the floating diffusion node. In another embodiment, the pixel cell includes a shield line which extends athwart the coupling portion, where the shield line is to reduce a parasitic capacitance of the reset transistor to the floating diffusion node. | 2013-04-04 |
20130082314 | LOW RESISTANCE STACKED ANNULAR CONTACT - An integrated circuit contains lower components in the substrate, a PMD layer, upper components over the PMD layer, lower contacts in the PMD layer connecting some upper components to some lower components, an ILD layer over the upper components, metal interconnect lines over the ILD layer, and upper contacts connecting some upper components to some metal interconnect lines, and also includes annular stacked contacts of lower annular contacts aligned with upper annular contacts. The lower contacts and upper contacts each have a metal liner and a contact metal on the liner. The lower annular contacts have at least one ring of liner metal and contact metal surrounding a pillar of PMD material, and the upper contacts have at least one ring of liner metal and contact metal surrounding a pillar of ILD material. The annular stacked contacts connect the metal interconnects to the lower components. | 2013-04-04 |
20130082315 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A semiconductor device of the present invention has a first insulating film formed between a control gate electrode and a semiconductor substrate and a second insulating film formed between a memory gate electrode and the semiconductor substrate and between the control gate electrode and the memory gate electrode, the second insulating film having a charge accumulating part therein. The second insulating film has a first film, a second film serving as a charge accumulating part disposed on the first film, and a third film disposed on the second film. The third film has a sidewall film positioned between the control gate electrode and the memory gate electrode and a deposited film positioned between the memory gate electrode and the semiconductor substrate. In this structure, the distance at a corner part of the second insulating film can be increased, and electric-field concentration can be reduced. | 2013-04-04 |
20130082316 | THREE-DIMENSIONAL NONVOLATILE MEMORY DEVICES INCLUDING INTERPOSED FLOATING GATES - Provided are three-dimensional nonvolatile memory devices and methods of fabricating the same. The memory devices include semiconductor pillars penetrating interlayer insulating layers and conductive layers alternately stacked on a substrate and electrically connected to the substrate and floating gates selectively interposed between the semiconductor pillars and the conductive layers. The floating gates are formed in recesses in the conductive layers. | 2013-04-04 |
20130082317 | SEMICONDUCTOR MEMORY DEVICE AND SEMICONDUCTOR MEMORY ELEMENT - A semiconductor memory element for writing by a drain-avalanche hot electron includes a MOS transistor having a first semiconductor layer of a second conductivity type formed on a semiconductor substrate of a first conductivity type; a floating gate provided on the first semiconductor layer through intermediation of an insulating film; a channel region formed in a surface of the first semiconductor layer under the floating gate; and a source region and a drain region of the first conductivity type provided on the first semiconductor layer so as to be in contact with the channel region in which the channel region has a distribution of at least two kinds of carrier densities. | 2013-04-04 |
20130082318 | INTEGRATION OF eNVM, RMG, AND HKMG MODULES - A memory device is fabricated through the integration of embedded non-volatile memory (eNVM) with replacement metal gate (RMG) and high-k/metal gate (HKMG) modules. Embodiments include forming two substrate portions having upper surfaces at different heights, forming non-volatile gate stacks over the substrate portion with the lower upper surface, and forming high-voltage gate stacks and logic gate stacks over the other substrate portion. Embodiments include the upper surfaces of the non-voltage gate stacks, the high-voltage gate stacks, and the logic gate stacks being substantially coplanar. | 2013-04-04 |
20130082319 | MEMORY DEVICE - According to one embodiment, a memory device includes the following structure. A first double tunnel junction structure includes a first nanocrystal layer that includes first conductive minute particles, and first and second tunnel insulating films arranged to sandwich the first nanocrystal layer. A second double tunnel junction structure includes a second nanocrystal layer that includes second conductive minute particles, and third and fourth tunnel insulating films arranged to sandwich the second nanocrystal layer. A charge storage layer is arranged between the first and second double tunnel junction structures. First and second conductive layers are arranged to sandwich the first double tunnel junction structure, the charge storage layer, and the second double tunnel junction structure. The first conductive minute particles has an average grain size which is different from that of the second conductive minute particles. | 2013-04-04 |
20130082320 | STRAPPED DUAL-GATE VDMOS DEVICE - Semiconductor devices are described that include a dual-gate configuration. In one or more implementations, the semiconductor devices include a substrate having a first surface and a second surface. The substrate includes a first and a second body region formed proximal to the first surface. Moreover, each body region includes a source region formed therein. The substrate further includes a drain region formed proximal to the second surface and an epitaxial region that is configured to function as a drift region between the drain region and the source regions. A dual-gate is formed over the first surface of the substrate. The dual-gate includes a first gate region and a second gate region that define a gap there between to reduce the gate to drain capacitance. A conductive layer may be formed over the first gate region and the second gate region to lower the effective resistance of the dual-gate. | 2013-04-04 |
20130082321 | DUAL-GATE VDMOS DEVICE - Semiconductor devices are described that include a dual-gate configuration. In one or more implementations, the semiconductor devices include a substrate having a first surface and a second surface. The substrate includes a first and a second body region formed proximal to the first surface. Moreover, each body region includes a source region formed therein. The substrate further includes a drain region formed proximal to the second surface and an epitaxial region that is configured to function as a drift region between the drain region and the source regions. A dual-gate is formed over the first surface of the substrate. The dual-gate includes a first gate region and a second gate region that define a gap there between to reduce the gate to drain capacitance. | 2013-04-04 |
20130082322 | SEMICONDUCTOR DEVICE WITH SELF-CHARGING FIELD ELECTRODES - Disclosed is a semiconductor device including a drift region of a first doping type, a junction between the drift region and a device region, and at least one field electrode structure in the drift region. The field electrode structure includes a field electrode, a field electrode dielectric adjoining the field electrode and arranged between the field electrode and the drift region, and having an opening, at least one of a field stop region and a generation region. | 2013-04-04 |
20130082323 | SUPERJUNCTION STRUCTURE, SUPERJUNCTION MOS TRANSISTOR AND MANUFACTURING METHOD THEREOF - A superjunction structure with unevenly doped P-type pillars ( | 2013-04-04 |
20130082324 | LATERAL STACK-TYPE SUPER JUNCTION POWER SEMICONDUCTOR DEVICE - A lateral stack-type super junction power semiconductor device includes a semiconductor substrate; an epitaxial stack structure on the semiconductor substrate, having a first epitaxial layer and a second epitaxial layer; a drain structure embedded in the epitaxial stack structure and extending along a first direction; a plurality of gate structures embedded in the epitaxial stack structure and arranged in a segmental manner along the first direction; a source structure between the plurality of gate structures; and an ion well encompassing the source structure. | 2013-04-04 |
20130082325 | One-Time Programmable Device Having an LDMOS Structure and Related Method - According to one embodiment, a one-time programmable (OTP) device having a lateral diffused metal-oxide-semiconductor (LDMOS) structure comprises a pass gate including a pass gate electrode and a pass gate dielectric, and a programming gate including a programming gate electrode and a programming gate dielectric. The programming gate is spaced from the pass gate by a drain extension region of the LDMOS structure. The LDMOS structure provides protection for the pass gate when a programming voltage for rupturing the programming gate dielectric is applied to the programming gate electrode. A method for producing such an OTP device comprises forming a drain extension region, fabricating a pass gate over a first portion of the drain extension region, and fabricating a programming gate over a second portion of the drain extension region. | 2013-04-04 |
20130082326 | SUPERJUNCTION LDMOS AND MANUFACTURING METHOD OF THE SAME - A superjunction LDMOS and its manufacturing method are disclosed. The superjunction LDMOS includes a diffused well in which a superjunction structure is formed; the superjunction structure has a depth less than the depth of the diffused well. The manufacturing method includes: provide a semiconductor substrate; form a diffused well in the semiconductor substrate by photolithography and high temperature diffusion; form an STI layer above the diffused well; form a superjunction structure in the diffused well by ion implantation, wherein the superjunction structure has a depth less than the depth of the diffused well; and form the other components of the superjunction LDMOS by subsequent conventional CMOS processes. The method is compatible with conventional CMOS processes and do not require high-cost and complicated special processes. | 2013-04-04 |
20130082327 | SEMICONDUCTOR DEVICE - A semiconductor device including a first conductive epitaxial layer, a second conductive type first well provided in the first conductive epitaxial layer, a first conductive body provided in the first conductive epitaxial layer, a second conductive type drain extension region provided in the first conductive epitaxial layer and interposed between the first conductive body and the second conductive type first well, a second conductive type second well provided in the second conductive type first well, and a gate provided in the first conductive epitaxial layer. | 2013-04-04 |
20130082328 | ENHANCEMENT OF CHARGE CARRIER MOBILITY IN TRANSISTORS - Transistor devices including stressors are disclosed. One such transistor device includes a channel region, a dielectric layer and a semiconductor substrate. The channel region is configured to provide a conductive channel between a source region and a drain region. In addition, the dielectric layer is below the channel region and is configured to electrically insulate the channel region. Further, the semiconductor substrate, which is below the channel region and below the dielectric layer, includes dislocation defects at a top surface of the semiconductor substrate, where the dislocation defects are collectively oriented to impose a compressive strain on the channel region such that charge carrier mobility is enhanced in the channel region. | 2013-04-04 |
20130082329 | MULTI-GATE FIELD-EFFECT TRANSISTORS WITH VARIABLE FIN HEIGHTS - Multi-gate devices and methods of their fabrication are disclosed. A multi-gate device can include a gate structure and a plurality of fins. The gate structure envelops a plurality of surfaces of the fins, which are directly on a substrate that is composed of a semiconducting material. Each of the fins provides a channel between a respective source and a respective drain, is composed of the semiconducting material and is doped. A first fin of the plurality of fins has a first height that is different from a second height of a second fin of the plurality of fins such that drive currents of the first and second fins are different. Further, the first and second fins form a respective cohesive structure of the semiconducting material with the substrate. In addition, surfaces of the substrate that border the fins are disposed at a same vertical position. | 2013-04-04 |
20130082330 | Zener Diode Structure and Process - A vertically stacked, planar junction Zener diode is concurrently formed with epitaxially grown FET raised S/D terminals. The structure and process of the Zener diode are compatible with Gate-Last high-k FET structures and processes. Lateral separation of diode and transistor structures is provided by modified STI masking. No additional photolithography steps are required. In some embodiments, the non junction face of the uppermost diode terminal is silicided with nickel to additionally perform as a copper diffusion barrier. | 2013-04-04 |
20130082331 | SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A semiconductor device includes: a semiconductor substrate; a first transistor which is formed on the semiconductor substrate and includes a source/drain region and a gate electrode; an insulating film which covers the source/drain region and the gate electrode of the first transistor; and a first contact plug which is formed in the insulating film and is connected to the source/drain region or the gate electrode of the first transistor, wherein the first contact plug includes a first column section which extends in a thickness direction of the insulating film and is in contact with the source/drain region or the gate electrode of the first transistor, and a first flange section which juts out from an upper portion of the first column section in a direction parallel to a surface of the insulating film, and an upper surface of the first flange section is planarized. | 2013-04-04 |
20130082332 | METHOD FOR FORMING N-TYPE AND P-TYPE METAL-OXIDE-SEMICONDUCTOR GATES SEPARATELY - Semiconductor devices with replacement gate electrodes are formed with different materials in the work function layers. Embodiments include forming first and second removable gates on a substrate, forming first and second pairs of spacers on opposite sides of the first and second removable gates, respectively, forming a hardmask layer over the second removable gate, removing the first removable gate, forming a first cavity between the first pair of spacers, forming a first work function material in the first cavity, removing the hardmask layer and the second removable gate, forming a second cavity between the second pair of spacers, and forming a second work function material, different from the first work function material, in the second cavity. | 2013-04-04 |
20130082333 | MULTI-GATE FIELD-EFFECT TRANSISTORS WITH VARIABLE FIN HEIGHTS - Multi-gate devices and methods of their fabrication are disclosed. A multi-gate device can include a gate structure and a plurality of fins. The gate structure envelops a plurality of surfaces of the fins, which are directly on a substrate that is composed of a semiconducting material. Each of the fins provides a channel between a respective source and a respective drain, is composed of the semiconducting material and is doped. A first fin of the plurality of fins has a first height that is different from a second height of a second fin of the plurality of fins such that drive currents of the first and second fins are different. Further, the first and second fins form a respective cohesive structure of the semiconducting material with the substrate. In addition, surfaces of the substrate that border the fins are disposed at a same vertical position. | 2013-04-04 |
20130082334 | SEMICONDUCTOR DEVICE - A semiconductor device is improved in reliability. A switching power MOSFET and a sense MOSFET for sensing a current flowing in the power MOSFET, which is smaller in area than the power MOSFET, are formed in one semiconductor chip. The semiconductor chip is mounted over a chip mounting portion via a conductive bonding material, and sealed in a resin. Over the main surface of the semiconductor chip, a metal plate is bonded to a source pad electrode of the power MOSFET. In the plan view, the metal plate does not overlap a sense MOSFET region where the sense MOSFET is formed. The metal plate is bonded to the source pad electrode so as to surround three of the sides of the sense MOSFET region. | 2013-04-04 |
20130082335 | Extended Drain Lateral DMOS Transistor with Reduced Gate Charge and Self-Aligned Extended Drain - A method to form a LDMOS transistor includes forming a gate/source/body opening and a drain opening in a field oxide on a substrate structure, forming a gate oxide in the gate/source/body opening, and forming a polysilicon layer over the substrate structure. The polysilicon layer is anisotropically etched to form polysilicon spacer gates separated by a space in the gate/source/body opening and a polysilicon drain contact in the drain opening. A body region is formed self-aligned about outer edges of the polysilicon spacer gates, a source region is formed self-aligned about inner edges of the polysilicon spacer gates, and a drain region is formed under the polysilicon drain contact and self-aligned with respect to the polysilicon spacer gates. A drift region forms in the substrate structure between the body region and the drain region, and a channel region forms in the body region between the source region and the drift region. | 2013-04-04 |
20130082336 | SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - An AlGaN/GaN HEMT includes a compound semiconductor multilayer structure, an insertion metal layer in contact with a surface of the compound semiconductor multilayer structure, a gate insulating film formed on the insertion metal layer, and a gate electrode formed above the insertion metal layer with the gate insulating film between the gate electrode and the insertion metal layer. | 2013-04-04 |
20130082337 | OXYGEN SCAVENGING SPACER FOR A GATE ELECTRODE - At least one layer including a scavenging material and a dielectric material is deposited over a gate stack, and is subsequently anisotropically etched to form a oxygen-scavenging-material-including gate spacer. The oxygen-scavenging-material-including gate spacer can be a scavenging-nanoparticle-including gate spacer or a scavenging-island-including gate spacer. The scavenging material is distributed within the oxygen-scavenging-material-including gate spacer in a manner that prevents an electrical short between a gate electrode and a semiconductor material underlying a gate dielectric. The scavenging material actively scavenges oxygen that diffuses toward the gate dielectric from above, or from the outside of, a dielectric gate spacer that can be formed around the oxygen-scavenging-material-including gate spacer. | 2013-04-04 |
20130082338 | MEMS Structures and Methods for Forming the Same - A device includes a micro-electro-mechanical system (MEMS) device, which includes a movable element and a fixed element. The movable element and the fixed element form two capacitor plates of a capacitor, with an air-gap between the movable element and the fixed element acting as a capacitor insulator of the capacitor. At least one of the movable element and the fixed element has a rugged surface. | 2013-04-04 |
20130082339 | METHOD FOR MANUFACTURING AND MAGNETIC DEVICES HAVING DOUBLE TUNNEL BARRIERS - A dual tunnel barrier magnetic element has a free magnetic layer positioned between first and second tunnel barriers and an electrode over the second tunnel barrier. A two step etch process allows for forming an encapsulation material on a side wall of the electrode and the second tunnel barrier subsequent to the first etch for preventing damage to the first tunnel barrier when performing the second etch to remove a portion of the free layer. | 2013-04-04 |
20130082340 | APPARATUS HAVING A BACK-BIAS MAGNET AND A SEMICONDUCTOR CHIP ELEMENT - An apparatus may include a back-bias magnet; and a semiconductor chip element; wherein the semiconductor chip element has a sensor for measuring a magnetic field strength; and wherein a contact surface is formed on a contact side of the back-bias magnet and on a contact side of the semiconductor chip element and wherein the contact side of the semiconductor chip element has one or more structures such that the contact surface of the back-bias magnet is shaped in a manner corresponding to the structures of the semiconductor chip element. | 2013-04-04 |
20130082341 | SEMICONDUCTOR DEVICE AND SEMICONDUCTOR-DEVICE MANUFACTURING METHOD - It is possible to reduce resistance variations of a member connecting a through-silicon via to a line and improve wiring reliability. A hole through which the through-silicon via is to be stretched is created and an over-etching process is carried out on a wiring layer including the line. Then, by embedding copper in the hole, the through-silicon via made of the copper can be created. After the through-silicon via has been connected to the line made of aluminum through the member which is a connection area, the connection area is alloyed in a thermal treatment in order to electrically connect the through-silicon via to the line. Thus, it is possible to reduce variations of a resistance between the through-silicon via and the line and also improve wiring reliability as well. The present technology can be applied to a semiconductor device and a method for manufacturing the semiconductor device. | 2013-04-04 |
20130082342 | POLISHING PROCESS FOR ENHANCING IMAGE QUALITY OF BACKSIDE ILLUMINATED IMAGE SENSOR - The present disclosure provides an image sensor device and a method of forming the image sensor device. In an example, a method includes providing a substrate having a first surface and a second surface, the first surface being opposite the second surface; forming a light sensing region at the first surface of the substrate; forming a doped layer at the second surface of the substrate; and after forming the doped layer, polishing the second surface of the substrate. | 2013-04-04 |
20130082343 | PHOTOELECTRIC CONVERSION DEVICE, METHOD OF MANUFACTURING THE SAME AND PHOTOELECTRIC CONVERSION SYSTEM - One of disclosed embodiments provides a photoelectric conversion device, comprising a member including a first surface configured to receive light, and a second surface opposite to the first surface, and a plurality of photoelectric conversion portions aligned inside the member in a depth direction from the first surface, wherein at least one of the plurality of photoelectric conversion portions other than the photoelectric conversion portion positioned closest to the first surface includes, on a boundary surface thereof with the member, unevenness having a difference in level larger than a difference in level of unevenness of the photoelectric conversion portion positioned closest to the first surface, and wherein the boundary surface having the unevenness is configured to localize or resonate light incident on the member from a side of the first surface around the boundary surface having the unevenness. | 2013-04-04 |
20130082344 | PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device with low resistance loss and high conversion efficiency is provided. The photoelectric conversion device includes a first silicon semiconductor layer and a second silicon semiconductor layer between a pair of electrodes. The first silicon semiconductor layer is provided over one surface of a crystalline silicon substrate having one conductivity type and has a conductivity type opposite to that of the crystalline silicon substrate, and the second silicon semiconductor layer is provided on the other surface of the crystalline silicon substrate and has a conductivity type which is the same as that of the crystalline silicon substrate. Further, the first silicon semiconductor layer and the second silicon semiconductor layer each have a carrier concentration varying in the film thickness direction. | 2013-04-04 |
20130082345 | Hybrid FPA for Thz imaging with an antenna array, coupled to CMOS-MEMS thermal sensors, implementing per-pixel ES actuation and enabling tuning, correlated double sampling and AM modulation - A THz radiation detector comprising a vertical antenna separated from a suspended platform by an isolating thermal air gap for concentrating THz radiation energy into a smaller suspended MEMS platform upon which a thermal sensor element is located. THz photon energy is converted into electrical energy via a thermally isolated air gap between plates of a coupling capacitor that couples energy from the antenna to the thermal sensor. The capacitor plates used for capacitive coupling of the received signal realize an electro-static actuator whereby the application of a DC bias varies the coupling capacitor gap. The DC bias causes the actuator to pull the suspended platform close to the antenna to reduce the capacitive gap, increasing the coupling capacitance, to touch the antenna array thus quickly discharging the heat induced in the sensor platform or to perform advanced readout operations, such as amplitude modulation and correlated double sampling. | 2013-04-04 |
20130082346 | SEAL RING STRUCTURE WITH A METAL PAD - A method includes providing a substrate having a seal ring region and a circuit region, forming a seal ring structure over the seal ring region, forming a first frontside passivation layer above the seal ring structure, etching a frontside aperture in the first frontside passivation layer adjacent to an exterior portion of the seal ring structure, forming a frontside metal pad in the frontside aperture to couple the frontside metal pad to the exterior portion of the seal ring structure, forming a first backside passivation layer below the seal ring structure, etching a backside aperture in the first backside passivation layer adjacent to the exterior portion of the seal ring structure, and forming a backside metal pad in the backside aperture to couple the backside metal pad to the exterior portion of the seal ring structure. Semiconductor devices fabricated by such a method are also provided. | 2013-04-04 |
20130082347 | One Time Programmable Structure Using a Gate Last High-K Metal Gate Process - An eFuse structure having a first metal layer serving as a fuse with a gate including an undoped polysilicon (poly), a second metal layer and a high-K dielectric layer all formed on a silicon substrate with a Shallow Trench Isolation formation, and a process of fabricating same are provided. The eFuse structure enables use of low amounts of current to blow a fuse thus allowing the use of a smaller MOSFET. | 2013-04-04 |
20130082348 | Structure and Method to Form Passive Devices in ETSOI Process Flow - Techniques for fabricating passive devices in an extremely-thin silicon-on-insulator (ETSOI) wafer are provided. In one aspect, a method for fabricating one or more passive devices in an ETSOI wafer is provided. The method includes the following steps. The ETSOI wafer having a substrate and an ETSOI layer separated from the substrate by a buried oxide (BOX) is provided. The ETSOI layer is coated with a protective layer. At least one trench is formed that extends through the protective layer, the ETSOI layer and the BOX, and wherein a portion of the substrate is exposed within the trench. Spacers are formed lining sidewalls of the trench. Epitaxial silicon templated from the substrate is grown in the trench. The protective layer is removed from the ETSOI layer. The passive devices are formed in the epitaxial silicon. | 2013-04-04 |
20130082349 | SEMICONDUCTOR DEVICE - Provided is a method of manufacturing a semiconductor device capable of preventing, in a SOG etch back planarization process in a multi-layered wiring process, degradation in long-term reliability with respect to the entering of moisture caused by a fuse opening portion. A fuse is shaped so that polycrystalline silicon extends to a lower part of a guard ring provided in a first layer of metal for preventing the entering of moisture from the fuse opening portion. Thus, a metal wiring used for connection to an electrode of the fuse and a metal wiring of the guard ring become equal in height, and hence an SOG layer can be prevented from reaching the inside of an IC. | 2013-04-04 |
20130082350 | SILICON-ON-INSULATOR CHIP HAVING MULTIPLE CRYSTAL ORIENTATIONS - A silicon-on-insulator device having multiple crystal orientations is disclosed. In one embodiment, the silicon-on-insulator device includes a substrate layer, an insulating layer disposed on the substrate layer, a first silicon layer, and a strained silicon layer. The first silicon layer has a first crystal orientation and is disposed on a portion of the insulating layer, and the strained silicon layer is disposed on another portion of the insulating layer and has a crystal orientation different from the first crystal orientation. | 2013-04-04 |
20130082351 | Method for Fabricating a MIM Capacitor Having a Local Interconnect Metal Electrode and Related Structure - According to one exemplary embodiment, a method for fabricating a metal-insulator-metal (MIM) capacitor in a semiconductor die comprises forming a bottom capacitor electrode over a device layer situated below a first metallization layer of the semiconductor die, and forming a top capacitor electrode over an interlayer barrier dielectric formed over the bottom capacitor electrode. The top capacitor electrode is formed from a local interconnect metal for connecting devices formed in the device layer. In one embodiment, the bottom capacitor electrode is formed from a gate metal. The method may further comprise forming a metal plate in the first metallization layer and over the top capacitor electrode, and connecting the metal plate to the bottom capacitor electrode to provide increased capacitance density. | 2013-04-04 |
20130082352 | STACK PACKAGE - A stack package includes a first semiconductor chip first pads and second pads disposed thereon and a second semiconductor chip having third pads and fourth pads electrically connected with the second pads disposed thereon. Capacitors are interposed between the first semiconductor chip and the second semiconductor chip, and include first electrodes electrically connected with the first pads of the first semiconductor chip, second electrodes electrically connected with the third pads of the second semiconductor chip, and dielectrics interposed between the first electrodes and the second electrodes. | 2013-04-04 |
20130082353 | TUNABLE ESD PROTECTION DEVICE - The present disclosure provides an ESD protection device. The device contains a bipolar junction transistor device that includes a collector, a base, and an emitter. The collector includes a first doped element and a more heavily doped second doped element disposed over the first doped element. The first and second doped elements each have a first doping polarity. The base is located adjacent to the collector and includes a third doped element having a second doping polarity different from the first doping polarity. A p-n junction is formed between the third doped element and one of the first and second doped elements. The emitter is formed over the base. The emitter includes a fourth doped element having the first doping polarity and forming a p-n junction with the third doped element. The fourth doped element is more heavily doped than the third doped element. | 2013-04-04 |
20130082354 | Semiconductor Structure and Method for Manufacturing the Same - The present invention provides a method for manufacturing a semiconductor structure, comprising the steps of: providing a semiconductor substrate, forming an insulating layer on the semiconductor substrate, and forming a semiconductor base layer on the insulating layer; forming a sacrificial layer and a spacer surrounding the sacrificial layer on the semiconductor base layer, and etching the semiconductor base layer by taking the spacer as a mask to form a semiconductor body; forming a dielectric film on sidewalls of the semiconductor body; removing the sacrificial layer and the semiconductor body located under the sacrificial layer to form a first semiconductor fin and a second semiconductor fin; and forming a retrograde doped well structure on the inner walls of the first semiconductor fin and the second semiconductor fin, wherein the inner walls thereof are opposite to each other. Correspondingly, the present invention further provides a semiconductor structure. In the present invention, a retrograde doped well structure is formed on the sidewalls of the two semiconductor fins that are opposite to each other, so that the width of the source/drain depletion layer may be effectively reduced, and thereby the short channel effect is reduced. | 2013-04-04 |
20130082355 | NITRIDE SEMICONDUCTOR SUBSTRATE - A nitride semiconductor substrate is provided in which leak current reduction and improvement in current collapse are effectively attained when using Si single crystal as a base substrate. The nitride semiconductor substrate is such that an active layer of a nitride semiconductor is formed on one principal plane of a Si single crystal substrate through a plurality of buffer layers made of a nitride, in the buffer layers, a carbon concentration of a layer which is in contact with at least the active layer is from 1×10 | 2013-04-04 |
20130082356 | HIGH THROUGHPUT EPITAXIAL LIFTOFF FOR RELEASING MULTIPLE SEMICONDUCTOR DEVICE LAYERS FROM A SINGLE BASE SUBSTRATE - In one embodiment, a semiconductor structure is provided which includes a base substrate, and a multilayered stack located on the base substrate. The multilayered stack includes, from bottom to top, a first sacrificial material layer having a first thickness, a first semiconductor device layer, a second sacrificial material layer having a second thickness, and a second semiconductor device layer, wherein the first thickness is less than the second thickness. | 2013-04-04 |
20130082357 | PREFORMED TEXTURED SEMICONDUCTOR LAYER - A base layer of a semiconductor material is formed with a naturally textured surface. The base layer may be incorporated within a photovoltaic structure. A controlled spalling technique, in which substrate fracture is propagated in a selected direction to cause the formation of facets, is employed. Spalling in the [110] directions of a (001) silicon substrate results in the formation of such facets of the resulting base layer, providing a natural surface texture. | 2013-04-04 |
20130082358 | SINGLE CRYSTAL SUBSTRATE WITH MULTILAYER FILM, MANUFACTURING METHOD FOR SINGLE CRYSTAL SUBSTRATE WITH MULTILAYER FILM, AND ELEMENT MANUFACTURING METHOD - In order to correct warpage that occurs in formation of a multilayer film, provided are a single crystal substrate with a multilayer film, a manufacturing method therefor, and an element manufacturing method using the manufacturing method. The single crystal substrate with a multilayer film includes: a single crystal substrate ( | 2013-04-04 |
20130082359 | REMOVING CONDUCTIVE MATERIAL TO FORM CONDUCTIVE FEATURES IN A SUBSTRATE - Apparatuses having, and methods for forming, conductive features are described. A hole is formed in a substrate and a conductive material is deposited in the hole. A part of the conductive material that occupies a first lengthwise portion of the hole is removed, and a conductive feature that occupies a second lengthwise portion of the hole remains in the substrate. | 2013-04-04 |
20130082360 | COMPOUND SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A compound semiconductor multilayer structure is formed on a Si substrate. The compound semiconductor multilayer structure includes an electrode transit layer, an electrode donor layer formed above the electron transit layer, and a cap layer formed above the electron donor layer. The cap layer contains a first crystal polarized in the same direction as the electron transit layer and the electron donor layer and a second crystal polarized in the direction opposite to the polarization direction of the electron transit layer and the electron donor layer. | 2013-04-04 |
20130082361 | MANUFACTURING METHOD FOR FLEXIBLE DEVICE AND FLEXIBLE DEVICE MANUFACTURED BY THE SAME - Provided are a method of manufacturing a flexible device and a flexible device manufactured thereby. | 2013-04-04 |
20130082362 | Semiconductor Device and Manufacturing Method thereof - A semiconductor device and its manufacturing method, wherein the NMOS device is covered by a layer of silicon nitride film having a high ultraviolet light absorption coefficient through PECVD, said silicon nitride film can well absorb ultraviolet light when being subject to the stimulated laser surface anneal so as to achieve a good dehydrogenization effect, and after dehydrogenization, the silicon nitride film will have a high tensile stress; since the silicon nitride film has a high ultraviolet light absorption coefficient, there is no need to heat the substrate, thus avoiding the adverse influences to the device caused by heating the substrate to dehydrogenize, and maintaining the heat budget brought about by the PECVD process. | 2013-04-04 |
20130082363 | Device Having Wirelessly Enabled Functional Blocks - Embodiments described herein provide enhanced integrated circuit (IC) devices. In an embodiment, an IC device includes a substrate, an IC die coupled to a surface of the substrate, a first wirelessly enabled functional block located, on the IC die, the first wirelessly enabled functional block being configured to wirelessly communicate with a second wirelessly enabled functional block located on the substrate, and a ground ring configured to provide electromagnetic shielding for the first and second wirelessly enabled functional blocks. | 2013-04-04 |
20130082364 | EMI Package AND METHOD FOR MAKING SAME - An integrated circuit structure includes a substrate, a photosensitive molding on a first side of the substrate, a via formed in the molding, and a conformable metallic layer deposited over the first side of the substrate and in the via. A through via may be formed through the substrate aligned with the via in the molding with an electrically conductive liner deposited in the through via in electrical contact with the conformable metallic layer. The integrated circuit structure may further include a connector element such as a solder ball on an end of the through via on a second side of the substrate opposite the first side. The integrated circuit structure may further include a die on the first side of the substrate in electrical contact with another through via or with a redistribution layer. | 2013-04-04 |
20130082365 | Interposer for ESD, EMI, and EMC - A interposer sandwich structure comprises a top interposer and a bottom interposer enclosing an integrated circuit electronic device that includes means for attaching the device to the bottom interposer, and an interconnection structure connecting the top interposer to the bottom interposer. The top interposer may also be directly connected to a chip carrier in addition to the bottom interposer. The structure provides shielding and protection of the device against Electrostatic Discharge (ESD), Electromagnetic Interference (EMI), and Electromagnetic Conductivity (EMC) in miniaturized 3D packaging. | 2013-04-04 |
20130082366 | SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME - There is provided a semiconductor package including: a substrate having at least one element mounted thereon; a prepreg layer stacked on the substrate to cover the at least one element; a metal shielding layer stacked on the prepreg layer to electrically shield the at least one element; and a via electrode penetrating through the metal shielding layer and the prepreg layer and electrically connected to a ground electrode formed on the substrate. | 2013-04-04 |
20130082367 | SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME - There are provided a semiconductor package and a method of manufacturing the same. The semiconductor package includes: a substrate having a ground electrode formed on one surface thereof; at least one electronic component mounted on one surface of the substrate; an insulation layer including an exposed part exposing the ground electrode and a cover part covering the electronic component; and a shielding layer electrically connected to the ground electrode and covering the insulation layer. | 2013-04-04 |
20130082368 | EMI SHIELDED SEMICONDUCTOR PACKAGE AND EMI SHIELDED SUBSTRATE MODULE - An EMI shielded semiconductor package includes a semiconductor package and an EMI shield layer formed on at least a part of a surface of the EMI shielded semiconductor package. The EMI shield layer includes a matrix layer; a metal layer positioned on the matrix layer; and a first seed particle positioned in an interface between the matrix layer and the metal layer. Unlike a conventional shielding process that is performed for a device level, a shielding process may be performed for a mounting substrate level, and thus the semiconductor package and the substrate module may be manufactured with high-productivity at low costs in a short period of time. | 2013-04-04 |
20130082369 | CURABLE RESIN COMPOSITION, CURABLE RESIN COMPOSITION TABLET, MOLDED BODY, SEMICONDUCTOR PACKAGE, SEMICONDUCTOR COMPONENT AND LIGHT EMITTING DIODE - The present invention aims to provide a curable resin composition which gives a cured product having a low linear expansion coefficient. The curable resin composition of the present invention contains, as essential components, (A) an organic compound having at least two carbon-carbon double bonds reactive with SiH groups per molecule, (B) a compound containing at least two SiH groups per molecule, (C) a hydrosilylation catalyst, (D) a silicone compound having at least one carbon-carbon double bond reactive with a SiH group per molecule, and (E) an inorganic filler. | 2013-04-04 |
20130082370 | COMPONENT BUILT-IN WIRING BOARD AND MANUFACTURING METHOD OF COMPONENT BUILT-IN WIRING BOARD - Disclosed is a component built-in wiring board, including a first insulating layer; a second insulating layer positioned in a laminated state on the first insulating layer; a semiconductor element buried in the second insulating layer, having a semiconductor chip with terminal pads and having surface mounting terminals arrayed in a grid shape connected electrically with the terminal pads; an electric/electronic component further buried in the second insulating layer; a wiring pattern sandwiched between the first insulating layer and the second insulating layer, including a first mounting land for the semiconductor element and a second mounting land for the electric/electronic component; a first connecting member connecting electrically the surface mounting terminal of the semiconductor element with the first mounting land; and a second connecting member connecting electrically the terminals of the electric/electronic component with the second mounting land, made of a same material as a material of the first connecting member. | 2013-04-04 |
20130082371 | SEMICONDUCTOR PACKAGE - A semiconductor package includes a die pad, at least one semiconductor die mounted on the die pad, a plurality of leads disposed along peripheral edges of the die pad, at least one connecting bar for supporting the die pad, a first power bar disposed on one side of the connecting bar, a second power bar disposed on the other side of the connecting bar, and a connection member traversing the connecting bar and electrically connecting the first power bar with the second power bar. | 2013-04-04 |
20130082372 | Package on Packaging Structure and Methods of Making Same - A package on packaging structure provides for improved thermal conduction and mechanical strength by the introduction of a sold thermal coupler between the first and second packages. The first package has a first substrate and through vias through the first substrate. A first set of conductive elements is aligned with and coupled to the through vias of the first substrate. A solid thermal coupler is coupled to the first set of conductive elements and to a die of the second package. A second set of conductive elements is coupled to the die and a bottom substrate is coupled to the second set of conductive elements. The thermal coupler may be, e.g., an interposer, a heat spreader, or a thermal conductive layer. | 2013-04-04 |
20130082373 | LOW-INDUCTIVE SEMICONDUCTOR MODULE - A semiconductor module includes a module housing, at least one substrate, a number N of at least two controllable power semiconductor chips arranged inside the module housing and one after another in a lateral direction, a single main load terminal arranged outside the module housing and electrically connected to the first main electrodes, and an auxiliary terminal arranged outside the module housing and electrically connected to the first main electrodes via an auxiliary terminal connecting conductor. | 2013-04-04 |
20130082374 | STUB MINIMIZATION USING DUPLICATE SETS OF SIGNAL TERMINALS IN ASSEMBLIES WITHOUT WIREBONDS TO PACKAGE SUBSTRATE - A microelectronic assembly can include a circuit panel having first and second panel contacts at respective first and second surfaces thereof, and first and second microelectronic packages each having terminals mounted to the respective panel contacts. Each package can include a microelectronic element having a face and contacts thereon, a substrate having first and second surfaces, and terminals on the second surface configured for connecting the package with an external component. The terminals can include first terminals at positions within first and second parallel grids. The first terminals can be configured to carry address information usable by circuitry within the package to determine an addressable memory location from among all the available addressable memory locations of a memory storage array within the microelectronic element. Signal assignments of the first terminals in the first grid can be a mirror image of signal assignments of the first terminals in the second grid. | 2013-04-04 |
20130082375 | STUB MINIMIZATION FOR ASSEMBLIES WITHOUT WIREBONDS TO PACKAGE SUBSTRATE - A system or microelectronic assembly can include one or more microelectronic packages each having a substrate and a microelectronic element having a face and one or more columns of contacts thereon which face and are joined to corresponding contacts on a surface of the substrate. An axial plane may intersect the face along a line in the first direction and centered relative to the columns of element contacts. Columns of package terminals can extend in the first direction. First terminals in a central region of the second surface can be configured to carry address information usable to determine an addressable memory location within the microelectronic element. The central region may have a width not more than three and one-half times a minimum pitch between the columns of package terminals. The axial plane can intersect the central region. | 2013-04-04 |
20130082376 | 3D INTEGRATED ELECTRONIC DEVICE STRUCTURE INCLUDING INCREASED THERMAL DISSIPATION CAPABILITIES - A microelectronic device structure including increased thermal dissipation capabilities. The structure including a three-dimensional (3D) integrated chip assembly that is flip chip bonded to a substrate. The chip assembly including a device substrate including an active device disposed thereon. A cap layer is phsyically bonded to the device substrate to at least partially define a hermetic seal about the active device. The microelectronic device structure provides a plurality of heat dissipation paths therethrough to dissipate heat generated therein. | 2013-04-04 |
20130082377 | INTEGRATED THREE-DIMENSIONAL MODULE HEAT EXCHANGER FOR POWER ELECTRONICS COOLING - Embodiments discussed herein are directed to a power semiconductor packaging that removes heat from a semiconductor package through one or more cooling zones that are located in a laterally oriented position with respect to the semiconductor package. Additional embodiments are directed to circuit elements that are constructed from one or more modular power semiconductor packages. | 2013-04-04 |
20130082378 | RESIN SEALING METHOD OF SEMICONDUCTOR DEVICE - A resin sealing method of a semiconductor device includes: positioning semiconductor devices at predetermined positions of an adhesive layer formed on a support body and adhering the semiconductor devices thereto, sealing a part of each of the semiconductor devices with resin by curing a first seal resin in a fluidization state so as to fix the semiconductor devices adhered to the predetermined positions of the adhesive layer formed on the support body, setting the semiconductor devices fixed to the predetermined positions of the adhesive layer formed on the support body in a mold and sealing the exposure parts of the semiconductor devices exposed from the first seal resin with a second seal resin, and removing the support body and the adhesive layer from the semiconductor devices sealed with the resin. | 2013-04-04 |
20130082379 | SEMICONDUCTOR PACKAGE INCLUDING AN INTEGRATED WAVEGUIDE - Methods and apparatus are disclosed for wirelessly communicating among integrated circuits and/or functional modules within the integrated circuits. A semiconductor device fabrication operation uses a predetermined sequence of photographic and/or chemical processing steps to form one or more functional modules onto a semiconductor substrate. The functional modules are coupled to an integrated waveguide that is formed onto the semiconductor substrate and/or attached thereto to form an integrated circuit. The functional modules communicate with each other as well as to other integrated circuits using a multiple access transmission scheme via the integrated waveguide. One or more integrated circuits may be coupled to an integrated circuit carrier to form Multichip Module. The Multichip Module may be coupled to a semiconductor package to form a packaged integrated circuit. | 2013-04-04 |
20130082380 | STUB MINIMIZATION USING DUPLICATE SETS OF SIGNAL TERMINALS IN ASSEMBLIES WITHOUT WIREBONDS TO PACKAGE SUBSTRATE - A microelectronic package can include a microelectronic element having a face and a plurality of element contacts thereon, a substrate having first and second surfaces, and terminals on the second surface configured for connecting the package with an external component. The microelectronic element can include a plurality of stacked electrically interconnected semiconductor chips. The substrate can have contacts facing the element contacts of the microelectronic element and joined thereto. The terminals can include first terminals arranged at positions within first and second parallel grids. The first terminals of each grid can be configured to carry address information usable by circuitry within the microelectronic package to determine an addressable memory location from among all the available addressable memory locations within the microelectronic element. The signal assignments of the first terminals in the first grid can be a mirror image of the signal assignments of the first terminals in the second grid. | 2013-04-04 |
20130082381 | STUB MINIMIZATION USING DUPLICATE SETS OF TERMINALS FOR WIREBOND ASSEMBLIES WITHOUT WINDOWS - A microelectronic element having a memory storage array has a front face facing away from a substrate of a microelectronic package, and is electrically connected with the substrate through conductive structure extending above the front face. First terminals are disposed at locations within first and second parallel grids of the package. The first terminals of each grid are configured to carry address information usable to determine an addressable memory location from among all the available addressable memory locations of the memory storage array. The first terminals in the first grid have signal assignments which are a mirror image of the signal assignments of the first terminals in the second grid. | 2013-04-04 |
20130082382 | SEMICONDUCTOR DEVICE - First and second sub-bumps are provided on both surfaces of each substrate along with a usual bump structure (first and second main bumps), and at least one of the first and second sub-bumps is made greater in height than the first and second main bumps, so that the sub-bumps come into contact with one another earlier than the main bumps at the time of joining semiconductor chips, thereby securing margins of joint among the main bumps and suppressing the thin-filming of a layer, such as a solder layer, to be fluidized by heating. | 2013-04-04 |
20130082383 | ELECTRONIC ASSEMBLY HAVING MIXED INTERFACE INCLUDING TSV DIE - An electronic assembly includes an interposer having an inner aperture including a first side and a second side. A through-substrate-via (TSV) die is within the aperture including a plurality of TSVs, a bottomside, and a topside including topside bonding features thereon including of a first portion of the plurality of TSVs or pads coupled to the first TSVs. A ball grid array (BGA) is coupled to the topside bonding features of the TSV die and to pads on the second side of the interposer. Mold material is over at least a portion of the first side of the interposer, and within the inner aperture to fill a gap between the TSV die and the interposer. Respective ones of a second portion of the plurality of TSVs from the bottomside of the TSV die are connected by a lateral connector to pads on the first side of the interposer. | 2013-04-04 |
20130082384 | MICROELECTRONIC DEVICES HAVING INTERMEDIATE CONTACTS FOR CONNECTION TO INTERPOSER SUBSTRATES, AND ASSOCIATED METHODS OF PACKAGING MICROELECTRONIC DEVICES WITH INTERMEDIATE CONTACTS - Microelectronic devices having intermediate contacts, and associated methods of packaging microelectronic devices with intermediate contacts, are disclosed herein. A packaged microelectronic device configured in accordance with one embodiment of the invention includes a microelectronic die attached to an interconnecting substrate. The microelectronic die includes an integrated circuit electrically coupled to a plurality of terminals. Each of the terminals is electrically coupled to a corresponding first contact on the die with an individual wire-bond. Each of the first contacts on the die is electrically coupled to a corresponding second contact on the interconnecting substrate by a conductive coupler such as a solder ball. | 2013-04-04 |
20130082385 | DIE HAVING COEFFICIENT OF THERMAL EXPANSION GRADED LAYER - A semiconductor die includes a substrate including a topside including circuit elements configured to provide a circuit function. The die includes at least one multi-layer structure including a first material having a first CTE, a second material including a metal having a second CTE, wherein the second CTE is higher than the first CTE. A coefficient of thermal expansion (CTE) graded layer includes at least a dielectric portion that is between the first material and the second material having a first side facing the first material and a second side facing the second material. The CTE graded layer includes a non-constant composition profile across its thickness that provides a graded CTE which increases in CTE from the first side to the second side. The multi-layer structure can be a through-substrate-vias (TSV) that extends through the thickness of the substrate. | 2013-04-04 |
20130082386 | INTEGRATED CIRCUIT PACKAGE AND A METHOD FOR MANUFACTURING AN INTEGRATED CIRCUIT PACKAGE - An integrated circuit package includes a package module including one or more circuit interconnections formed in a carrier, wherein at least one top-side package contact is formed over the top-side of the package module and electrically connected to at least one circuit interconnection of the one or more circuit interconnections and wherein a cavity is formed at the top-side of the package module; a chip disposed in the cavity, the chip including at least one chip front side contact and at least one chip back side contact, wherein the at least one chip front side contact is electrically connected to at least one further circuit interconnection of the one or more circuit interconnections; an electrically conductive structure connecting the at least one top-side package contact to the chip back side contact; and a metallic layer formed over the electrically conductive structure and on the chip back side contact. | 2013-04-04 |
20130082387 | POWER SEMICONDUCTOR ARRANGEMENT AND METHOD FOR PRODUCING A POWER SEMICONDUCTOR ARRANGEMENT - In a method for producing a power semiconductor arrangement, an insulation carrier with a top side, a metallization, and a contact pin with a first end are provided. The metallization is attached to the top side and a target section of the metallization is determined. After the metallization is attached to the top side of the insulation carrier, the first end of the contact pin is pressed into the target section such that the first end is inserted in the target section. Thereby, an interference fit and an electrical connection are established between the first end of the contact pin and the target section of the metallization. | 2013-04-04 |
20130082388 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE - According to one embodiment, a first core pattern is formed in a wiring portion on a process target film and a second core pattern, which is led out from the first core pattern and includes an opening, is formed in a leading portion on the process target film, a sidewall pattern is formed along an outer periphery of the first core pattern and the second core pattern and a sidewall dummy pattern is formed along an inner periphery of the opening of the second core pattern, the first core pattern and the second core pattern are removed, and the process target film is processed to transfer the sidewall pattern and the sidewall dummy pattern. | 2013-04-04 |
20130082389 | STUB MINIMIZATION FOR ASSEMBLIES WITHOUT WIREBONDS TO PACKAGE SUBSTRATE - A microelectronic package can include a substrate and a microelectronic element having a face and one or more columns of contacts thereon which face and are joined to corresponding contacts on a surface of the substrate. An axial plane may intersect the face along a line in the first direction and centered relative to the columns of element contacts. Columns of package terminals can extend in the first direction. First terminals in a central region of the second surface can be configured to carry address information usable to determine an addressable memory location within the microelectronic element. The central region may have a width not more than three and one-half times a minimum pitch between the columns of package terminals. The axial plane can intersect the central region. | 2013-04-04 |