Entries |
Document | Title | Date |
20080200099 | METHOD FOR MONITORING EDGE EXCLUSION DURING CHEMICAL MECHANICAL PLANARIZATION - A method is provided for measuring edge exclusion on a workpiece that includes a wafer having a film disposed thereon. The method is performed by a CMP system employing a platen and a thickness sensor coupled to the platen and positioned to repeatedly travel a path over the edge of the film during polishing. The method comprises measuring the thickness of the workpiece during selected iterations of the probe path, and establishing from the wafer thickness measurements the length of time the probe is over the film (t | 08-21-2008 |
20080214094 | METHOD FOR MANUFACTURING SILICON WAFER - A method for manufacturing a silicon wafer comprises a slicing step of a silicon single crystal ingot to obtain sliced wafers, a single-side grinding step to grind only one side of a wafer, and a smoothing step to smooth the other side of the wafer by controlling application of etchant depending on surface profile of the other side of the wafer. According to a method of the present invention a silicon wafer that has high flatness, is removed machine working damage, and is reduced of profile change of chamfer to be minimal can be manufactured. | 09-04-2008 |
20080233839 | Polisher for chemical mechanical planarization - Embodiments of a polisher for chemical mechanical planarization. The polisher includes a polishing pad structure containing a first reactant therein, and a second reactant in a polishing environment over the polishing pad structure. The first reactant and the second reactant react endothermically upon contact when polishing a wafer surface between the polishing pad structure and the polishing environment. | 09-25-2008 |
20080233840 | Method For The Simultaneous Grinding Of A Plurality Of Semiconductor Wafers - Simultaneous double-side grinding of a plurality of semiconductor wafers involves positioning each wafer freely in a cutout of one of plural carriers which rotate on a cycloidal trajectory, wherein the wafers are machined between two rotating ring-shaped working disks, each disk having a working layer of bonded abrasive, wherein the form of the working gap between working layers is determined during grinding and the form of the working area of at least one disk is altered such that the gap has a predetermined form. The wafers, during machining, may temporarily overhang the gap. The carrier is optionally composed only of a first material, or is completely or partly coated with the first material such that during machining only the first material contacts the working layer, and the first material does not reduce the machining ability of the working layer. | 09-25-2008 |
20080274672 | OPHTHALMIC BLOCKING PAD - An ophthalmic blocking pad includes a foam layer, a film layer disposed over and directly contacting the foam layer, and an adhesive layer disposed over the film layer. The film layer has a tensile strength of at least about 25 ksi (172 MPa). | 11-06-2008 |
20080293333 | METHODS AND APPARATUS FOR CONTROLLING THE SIZE OF AN EDGE EXCLUSION ZONE OF A SUBSTRATE - In some embodiments, a method of controlling a width of an edge exclusion zone of a substrate is provided. The method includes determining a range of angles over which to rotate a polishing head; rotating the polishing head over the determined range of angles to achieve a preset width for an edge exclusion zone of the substrate; and polishing an edge of the substrate with the polishing head. Numerous other aspects are provided. | 11-27-2008 |
20080293334 | METHODS AND APPARATUS FOR USING A BEVEL POLISHING HEAD WITH AN EFFICIENT TAPE ROUTING ARRANGEMENT - Apparatus and methods are provided to polish an edge of a substrate. The invention includes a polishing head, adapted to contact an edge of a substrate, wherein the polishing head includes one pair of front guide rollers and two pairs of back clamping rollers. Numerous other aspects are provided. | 11-27-2008 |
20080293335 | METHODS AND APPARATUS FOR SUBSTRATE EDGE POLISHING USING A POLISHING ARM - Apparatus and methods adapted to polish an edge of a substrate include (1) a polishing tape having a polishing surface and a second surface and (2) a polishing arm having a longitudinal axis and adapted to force the polishing surface of the polishing tape into contact with an edge of a substrate. The polishing arm includes i) a polishing head adapted to contact the second surface of the polishing tape, ii) a rocker arm coupled to the polishing head and adapted to rotate the polishing head around the longitudinal axis of the polishing arm and iii) a load arm extending adjacent to the rocker arm and adapted to move the polishing head in a direction perpendicular to the longitudinal axis of the polishing arm. Numerous other aspects are provided. | 11-27-2008 |
20080299874 | Method for restoring acid etched glass - A method for restoring acid etched glass includes grinding the glass and then applying an acid resistant polyester film over the glass. Grinding may be performed in steps going from course to fine grinding pads, and stopping with a 400 grit pad, leaving a somewhat cloudy appearing surface. The polyester film fills in small irregularities in the cloudy glass surface thus eliminating the need to polish the glass. | 12-04-2008 |
20080305720 | Method for Production of a Laminate Polishing Pad - Disclosed is a method for production of a laminate polishing pad which comprises a reduced number of steps and is excellent in productivity rate, and which causes no detachment between a polishing layer and a cushion layer and can prevent the groove clogging caused by a slurry or the like. Also disclosed is a laminate polishing pad produced by the method. A method for production of a laminate polishing pad, comprising the steps of: preparing a cell-dispersed urethane composition by a mechanical frothing process; ejecting the cell-dispersed urethane composition onto a cushion layer continuously while feeding the cushion layer; curing the cell-dispersed urethane composition while controlling the thickness of the composition evenly to form a polishing layer made of a polyurethane foam, thereby producing a long laminate sheet; and cutting the long laminate sheet. | 12-11-2008 |
20080305721 | TREATING METHOD FOR BRITTLE MEMBER - An object of the present invention is to provide a treating method for brittle member capable of stably holding the brittle member when applying predetermined treatments such as transportation and grinding back surface of a brittle member such as a semi-conductor wafer and separating the brittle member without breakage after finishing required treatment to thereby attaining high thickness accuracy of the brittle member. | 12-11-2008 |
20080305722 | Method for the single-sided polishing of bare semiconductor wafers - Single-sided polishing of bare semiconductor wafers is accomplished by using a polishing head with a membrane made of a resilient material by which polishing pressure is transmitted onto the backside of the semiconductor wafer to be polished, wherein the semiconductor wafer is pressed against a polishing cloth with a smooth surface while supplying a polishing agent, and is prevented from sliding off the membrane by a retainer ring. The retainer ring is provided with channels on a side surface facing the polishing cloth. | 12-11-2008 |
20080311826 | Griding and/or Polishing Tool, and Use and Manufacturing Thereof - A grinding and/or polishing tool comprises a thin, substantially flat substrate, which has a grinding side to which grinding particles are applied, the substrate being substantially incompressible in a direction perpendicular to the grinding side, the grinding particles comprising diamond particles, and the grinding particles being fixed to the substrate by a curing plastic resin. Moreover, a grinding and/or polishing method is disclosed, in which such a grinding and/or polishing tool is used, and also a method of manufacturing such a grinding and/or polishing tool. | 12-18-2008 |
20080318495 | CMP APPARATUSES WITH POLISHING ASSEMBLIES THAT PROVIDE FOR THE PASSIVE REMOVAL OF SLURRY - Chemical mechanical planarization apparatuses with polishing assemblies that provide for the passive removal of slurry are provided. In accordance with an embodiment, a work piece polishing assembly comprises a polishing pad comprising a polishing surface and an exhaust aperture that extends through the polishing pad from the polishing surface and is configured to receive a slurry from the polishing surface. An underlying member is disposed underlying the polishing pad and comprises a peripheral surface. The underlying member comprises a channel that is in fluid communication with the aperture and that opens at the peripheral surface of the underlying member. | 12-25-2008 |
20080318496 | METHODS OF CRYSTALLOGRAPHICALLY REORIENTING SINGLE CRYSTAL BODIES - A method of changing the crystallographic orientation of a single crystal body is disclosed that includes the steps of characterizing a crystallographic orientation of the single crystal body and calculating a misorientation angle between a select crystallographic direction of the single crystal body and a projection of the crystallographic direction along a plane of a first exterior major surface of the single crystal body. The method further includes removing material from at least a portion of the first exterior major surface to change the misorientation angle. | 12-25-2008 |
20090017730 | Polishing apparatus and polishing method - A polishing apparatus has a polishing tape ( | 01-15-2009 |
20090029633 | METHOD OF POLISHING A SILICON-CONTAINING DIELECTRIC - A chemical-mechanical polishing system comprising: (a) ceria abrasive having an average particle size of about 180 nm or less and a positive zeta potential, (b) a polishing additive bearing a functional group with a pK | 01-29-2009 |
20090036032 | TEMPERATURE CONTROL FOR ECMP PROCESS - Methods for polishing a substrate are provided. In one embodiment, the method includes pressing a substrate against a pad assembly disposed on rotating platen assembly, the pad assembly comprising an electrode coupled to a power source, flowing an electrolyte fluid onto the pad assembly, wherein the electrolyte fluid is in contact with the substrate and the electrode, creating an electrical bias between the electrode and the substrate, and heating the electrolyte fluid with an infrared lamp to a temperature of at least 10 degrees Celsius above room temperature. | 02-05-2009 |
20090042486 | Polishing method, substrate manufacturing method, and electronic apparatus manufacturing method - A polishing method configured to simultaneously polish both surfaces of a work includes the steps of inserting the work into a hole in a carrier and fixing the work with a fixing member, attaching the carrier to a polishing apparatus, polishing both surfaces of the work simultaneously, and detaching the carrier from the polishing apparatus after the polishing step, and attaching the carrier to an immediate cleaning apparatus. | 02-12-2009 |
20090042487 | Polishing apparatus, polishing method, substrate manufacturing method, and electronic apparatus manufacturing method - A polishing apparatus is configured to simultaneously polish both surfaces of a work, and includes a pair of stools rotating in opposite directions, a pair of detecting units configured to detect rotation rates of the stools, a pressurizing unit configured to compress the work between the pair of the stools, a slurry supply unit configured to supply a slurry to the stool, and a control unit configured to reduce, when determining that a frictional force between the polishing surface and the work exceeds a threshold, at least one of a load applied by the pressurizing unit, the rotation rate of the stool, and a supply amount of the slurry supplied by the slurry supply unit. | 02-12-2009 |
20090042488 | BACK GRINDING METHOD FOR WAFER - A back grinding method for a wafer includes covering a face-side surface of the wafer with a resin film, and cutting the surface of the resin film to form a flat surface parallel to the face-side surface of the wafer. The wafer is held with the surface of the resin film in contact with a suction surface of a chuck table in a grinding apparatus, and the exposed back-side surface of the wafer is ground. Unevenness in thickness of the resin film is suppressed, whereby the thickness of the wafer subjected to back grinding is made to be uniform. | 02-12-2009 |
20090042489 | WAFER POLISHING APPARATUS AND WAFER POLISHING METHOD - A wafer polishing in which a polishing liquid is supplied to a polishing pad for polishing a wafer carried on a carrier head; and the polishing liquid is supplied from one or more polishing liquid supplying devices onto the polishing pad, by a polishing liquid supplying member of the polishing liquid supplying device being positioned close to or in contact with the polishing pad, and is relatively moved against the polishing pad, so that the polishing liquid supplied to the upper portion of the polishing liquid supplying member flows down along the polishing liquid supplying member to be painted on a surface of the polishing pad. | 02-12-2009 |
20090042490 | Three-dimensional network for chemical mechanical polishing - The polishing pad ( | 02-12-2009 |
20090047872 | POLISHING PAD - To provide a polishing pad which is insusceptible to clogging of groove with abrasive particles and grinding dusts during polishing, and leads to little decrease in polishing rate even after long-term continuous use. A polishing pad of the present invention has a polishing layer formed of polyurethane resin foam having fine-cells, and asperity structure formed in a polishing surface of the polishing layer, and is featured in that the polyurethane resin foam is a reaction cured product between isocyanate-terminated prepolymer containing high-molecular-weight polyol component and isocyanate component, and a chain extender, and contains a silicon-based surfactant having combustion residue of not less than 8 wt %. | 02-19-2009 |
20090047873 | SUBSTRATE RETAINER - A retainer is used with an apparatus for polishing a substrate. The substrate has upper and lower surfaces and a lateral, substantially circular, perimeter. The apparatus has a polishing pad with an upper polishing surface for contacting and polishing the lower face of the substrate. The retainer has an inward facing retaining face for engaging and retaining the substrate against lateral movement during polishing of the substrate. The retaining face engages a substrate perimeter at more than substantially a single discrete circumferential location along the perimeter. | 02-19-2009 |
20090061739 | POLISHING APPARATUS AND METHOD FOR POLISHING SEMICONDUCTOR WAFERS USING LOAD-UNLOAD STATIONS - A polishing apparatus and method for polishing semiconductor wafers uses multiple load-unload stations and at least one turn-over robotic wafer handing device to process the wafers so that the wafer can be polished at multiple polishing tables. The turn-over robotic wafer handing device operates to turn over the wafers so that one side of the wafers can be polished at a first polishing table and the other side of the wafers can then be polished at a second polishing table. | 03-05-2009 |
20090081929 | SMOOTHING AND/OR LAPPING TOOL PARTICULARLY FOR FINISHING STONE MATERIALS - The present invention relates to a finishing and/or polishing block-like tool of abrasive material, which includes at least one front working portion ( | 03-26-2009 |
20090098808 | GRINDING METHOD FOR WAFER - A grinding method for a wafer having a plurality of devices on the front side, wherein the back side of the wafer is ground by a grinding wheel to suppress the motion of heavy metal in the wafer by a gettering effect and also to maintain the die strength of each device at about 1,000 MPa or more. The grinding wheel is composed of a frame and an abrasive member fixed to the free end of the frame. The abrasive member is produced by fixing diamond abrasive grains having a grain size of less than or equal to 1 μm with a vitrified bond. A protective member is attached to the front side of the wafer and the wafer is held on a chuck table in the condition where the protective member is in contact with the chuck table. The grinding wheel is rotated as rotating the chuck table to thereby grind the back side of the wafer by means of the abrasive member so that the average surface roughness of the back side of the wafer becomes less than or equal to 0.003 μm and the thickness of a strain layer remaining on the back side of the wafer becomes 0.05 μm. | 04-16-2009 |
20090104852 | Carrier, Method For Coating A Carrier, and Method For The Simultaneous Double-Side Material-Removing Machining Of Semiconductor Wafers - Carriers suitable for receiving one or more semiconductor wafers for the machining thereof in lapping, grinding or polishing machines, comprise a core of a first material which has a high stiffness, the core being completely or partly coated with a second material, and also at least one cutout for receiving a semiconductor wafer, wherein the second material is a thermoset polyurethane elastomer having a Shore A hardness of 20-90. The carriers are preferably coated with the second material after chemical surface activation and application of adhesion promoter, and may be used for simultaneous double-side material-removing machining of a plurality of semiconductor wafers. | 04-23-2009 |
20090156099 | METHODS AND APPARATUSES FOR SURFACE FINISHING CURED CONCRETE - A method and apparatus for finishing cured concrete floors using a riding trowel to which large diameter pans are attached having a balanced distribution of abraders releasably secured to the undersides of the pans. The individual abraders are preferably individually spring biased so as to maintain full contact with the floor when traversing undulations of the floor. | 06-18-2009 |
20090163117 | METHOD OF PRODUCING GLASS SUBSTRATE FOR PERPENDICULAR MAGNETIC RECORDING DISK - A glass substrate for perpendicular magnetic recording, having a surface with an average surface roughness of 2.0 Å or less and surface height variations of 1 Å or less with wavelengths in the range of 0.05 mm-0.5 mm in both radial and circumferential directions, is produced by rotating a glass substrate, supplying polishing slurry containing a specified amount of abrading particles of artificial diamond on its surface, pressing a polishing tape on the surface and causing this polishing tape to travel in a direction opposite to the direction of rotation of the glass substrate. | 06-25-2009 |
20090191794 | POLISHING METHOD, POLISHING PAD, AND POLISHING SYSTEM - A polishing method, a polishing pad, and a polishing system are described. The polishing pad with a plurality of grooves is provided. The width of each groove is W and the pitch between two adjacent grooves is P. An oscillatory movement distance of a workpiece on the polishing pad is set. The oscillatory movement distance enables any particular point on the workpiece to cross the same number of grooves, when a direction between the particular point and the center of the workpiece is perpendicular to a tangential direction of the grooves. The workpiece is then polished with the oscillatory movement distance, so as to achieve a better polishing uniformity for the surface of the workpiece. | 07-30-2009 |
20090191795 | COMPOSITION FOR FORMING POLISHING LAYER OF CHEMICAL MECHANICAL POLISHING PAD, CHEMICAL MECHANICAL POLISHING PAD AND CHEMICAL MECHANICAL POLISHING METHOD - Provided is a composition for forming a polishing layer of a chemical mechanical polishing pad having polishing characteristics such as a high polishing rate, an excellent planarity of the polished object and less scratches of the polished object. | 07-30-2009 |
20090215362 | Methods and Tool for Maintenance of Hard Surfaces, and a Method for Manufacturing Such a Tool - A method is disclosed for treating or maintaining a hard surface comprising a stone or stone-like material, the method comprising treatment of the surface with a flexible pad, in the presence of abrasive particles, bonded to the pad, on a contact surface between the pad and the hard surface, wherein the abrasive particles comprise diamond particles, and the treatment is performed in the absence of an effective amount of crystallization agent on the contact surface. The treatment is performed on a substantially regular basis, such as daily, weekly or monthly, and the treatment is performed using a pad comprising an open, lofty, three dimensional non-woven webs of fibers. A tool for use in the method is also provided, as well as a floor-surfacing machine comprising such a tool and a method for manufacturing such a tool. Furthermore, methods for treating or maintaining hard, smooth surfaces such as wood, polymer material, lacquer, linoleum, gelcoat, glass and automotive enamel are disclosed. | 08-27-2009 |
20090233529 | METHOD OF MANUFACTURING GLASS SUBSTRATE FOR MAGNETIC DISK, METHOD OF MANUFACTURING MAGNETIC DISK, AND POLISHING APPARATUS OF GLASS SUBSTRATE FOR MAGNETIC DISK - A method of manufacturing a glass substrate for a magnetic disk is provided, by which polishing accuracy on an inner circumferential end face of a glass substrate is improved, and a thermal asperity trouble can be avoided. | 09-17-2009 |
20090239450 | PROCESS FOR PRODUCING GLASS SUBSTRATE FOR MAGNETIC DISKS - In the production of a glass substrate for magnetic disks, in a step of polishing a main surface of a circular glass plate, roll-off is reduced without reducing the polishing rate. | 09-24-2009 |
20090247054 | METHOD TO PREVENT SLURRY CAKING ON CMP CONDITIONER - A method of planarizing a semiconductor structure comprises moving a conditioning element on a surface of a polishing member, rotating the semiconductor structure relative to the polishing member against the surface of the polishing member, and rinsing the surface of the polishing member and the semiconductor structure. While the conditioning element is moved over the surface of the polishing member and the semiconductor structure is rotated against the surface of the polishing member, slurry is directed onto the polishing member. The step of rinsing comprises contacting the conditioning element to the surface of the polishing member. | 10-01-2009 |
20090253353 | POLISHING PAD - It is an object of the invention to provide a polishing pad capable of high precision optical detection of an endpoint during polishing in progress and prevention of slurry leakage from between a polishing region and a light-transmitting region during the use thereof even after the polishing pad has been used for a long period. It is a second object of the invention to provide a polishing pad capable of suppression of deterioration of polishing characteristics (such as in-plane uniformity) and generation of scratches due to a difference in behavior of a polishing region and a light-transmitting region during polishing. It is a third object of the invention to provide a polishing pad having a polishing region and a light-transmitting region with a concentration of a specific metal equal to or lower than a specific value (threshold value). | 10-08-2009 |
20090253354 | POLISHING COMPOSITIONS AND USE THEREOF - Slurry compositions comprising abrasive particles and solid lubricant particles are useful for planiarizing surfaces, and preventing delamination and scratches. | 10-08-2009 |
20090253355 | CMP ABRASIVE, METHOD FOR POLISHING SUBSTRATE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME, AND ADDITIVE FOR CMP ABRASIVE - The present invention discloses a CMP abrasive comprising cerium oxide particles, a dispersant, an organic polymer having an atom or a structure capable of forming a hydrogen bond with a hydroxyl group present on a surface of a film to be polished and water, a method for polishing a substrate comprising polishing a film to be polished by moving a substrate on which the film to be polished is formed and a polishing platen while pressing the substrate against the polishing platen and a polishing cloth and supplying the CMP abrasive between the film to be polished and the polishing cloth, a method for manufacturing a semiconductor device comprising the steps of the above-mentioned polishing method, and an additive for a CMP abrasive comprising an organic polymer having an atom or a structure capable of forming a hydrogen bond with a hydroxyl group present on a surface of a film to be polished, and water. | 10-08-2009 |
20090270017 | Slurryless Mechanical Planarization for Substrate Reclamation - A patterned portion of a patterned semiconductor substrate is removed by abrasive mechanical planarization employing an abrasive pad but without employing any slurry. Preferably, water is supplied to enhance the removal rate during the mechanical planarization. The removal rate of material is substantially independent for common materials employed in back-end-of-line (BEOL) semiconductor materials, which enables non-selective removal of the material containing metallization structures. The removal rate of silicon is lower than the removal rate for the BEOL semiconductor materials, enabling a self-stopping planarization process. | 10-29-2009 |
20090275267 | Method of manufacturing ferrule assemblies - A method of manufacturing a ferrule assembly. The method including first and second polishing operations. The first polishing operation including polishing only the end face of a ferrule of an assembly. The second polishing operation including polishing only the optical fiber of the assembly. | 11-05-2009 |
20090275268 | METHOD FOR PRODUCING GLASS SUBSTRATE FOR MAGNETIC DISK - In the production of a glass substrate for magnetic disk, the present invention provides a method for producing a glass substrate for magnetic disk including a step of polishing a main surface of a circular glass substrate using a polishing pad made of a foamed resin while feeding a polishing liquid containing an abrasive, in which a polishing pad made of a foamed resin having an international rubber hardness of 45 IRHD or less, the hardness being measured at a dry state before contact with a slurry by the M method defined in JIS K6253, is used as a starting polishing pad and polishing is started after a polishing surface of the starting polishing pad is subjected to a dressing treatment to adjust the pad so that an open pore area ratio is 8% or more and an average circle equivalent diameter of open pores is 10 μm or more, in order to suppress an increase in roll-off in the polishing step of the main surface of the circular glass plate. | 11-05-2009 |
20090280723 | INTERPENETRATING POLYMER NETWORK STRUCTURE AND POLISHING PAD, AND PROCESS FOR PRODUCING THE SAME - The present invention relates to a process for producing an interpenetrating polymer network structure, which comprises the steps of impregnating a polymer molding with a radical polymerizable composition containing an ethylenically unsaturated compound and a radical polymerization initiator; and polymerizing the ethylenically unsaturated compound in a swollen state of the polymer molding impregnated with the radical polymerizable composition; wherein a chain transfer agent and/or a radical polymerization inhibitor are added to the radical polymerizable composition and/or the polymer molding before impregnating the polymer molding with the radical polymerizable composition. According to the present invention, a highly uniform interpenetrating polymer network structure can be obtained. The present invention also provides a polishing pad which is exhibits high in-plane uniformity of a polishing rate during polishing, and also has excellent flattening properties and improved pad lifetime during polishing, and a process for producing the same. | 11-12-2009 |
20090280724 | Method for Polishing Semiconductor Layers - The aqueous polishing method is useful for polishing semiconductor substrates including a TEOS layer and a SiOC layer. The method removes TEOS with a polishing composition having 0.05 to 50 weight percent abrasive, 0.001 to 2 weight percent lambda type carrageenan and an anionic surfactant. The lambda type carrageenan has a concentration useful for accelerating TEOS removal rate; and the anioinic surfactant is useful for suppressing removal rate of the SiOC layer. | 11-12-2009 |
20090280725 | Interpenetrating network for chemical mechanical polishing - Chemical mechanical polishing pads are provided, wherein the chemical mechanical polishing pads have a polishing layer comprising an interpenetrating network including a continuous non-fugitive phase and a substantially co-continuous fugitive phase. Also provided are methods of making the chemical mechanical polishing pads and for using them to polish substrates. | 11-12-2009 |
20090286454 | SUBSTRATE FOR INFORMATION RECORDING MEDIA AND MANUFACTURING METHOD THEREOF, INFORMATION RECORDING MEDIUM, AND STARTING MATERIAL GLASS PLATE - A substrate for use as a disk substrate in a hard disk drive or the like, an information recording medium such as a magnetic disk, and a starting material glass plate which is a starting material of the substrate for information recording media. The forming conditions of the starting material glass plate are controlled such that the starting material glass plate has a long-wavelength waviness of not more than 6 nm. This starting material glass plate is polished so as to have a long-wavelength waviness of not more than 6 nm using CeO | 11-19-2009 |
20090298391 | METHOD OF POLISHING END FACE OF MULTI-FIBER OPTICAL CONNECTOR - To provide a method of polishing an end face of a multi-fiber optical connector capable of eliminating recesses produced in core parts of multi-mode optical fibers. | 12-03-2009 |
20090298392 | POLYMER MATERIAL, FOAM OBTAINED FROM SAME, AND POLISHING PAD USING THOSE - The subject is to provide a polymer material which enables to improve planarity and planarization efficiency of a polished surface and is useful as a polishing pad which generates only a few scratches. The said subject is solved by a polymer material having a tensile modulus at 50° C. after saturation swelling with 50° C. water of 130 to 800 MPa, a loss tangent at 50° C. of not more than 0.2, and a contact angle with water of not more than 80°. | 12-03-2009 |
20090298393 | SLURRY SUPPLYING APPARATUS AND METHOD OF POLISHING SEMICONDUCTOR WAFER UTILIZING SAME - A diluted slurry supplying apparatus utilized in a polishing apparatus for finishing a semiconductor wafer with a slurry containing colloidal silica and water-soluble polymer is provided. The polishing method comprises: a slurry supplier capable of supplying the slurry containing the colloidal silica and the water-soluble polymer; a diluent supplier capable of supplying a diluent containing an aggregation preventing agent to dilute the slurry; a mixer capable of receiving the slurry and the diluent having been supplied from the slurry supplier and the diluent supplier, respectively, the mixer forming a diluted slurry with a pH value of at least 9; and an ultrasonic vibrator capable of applying an ultrasonic vibration to the diluted slurry staying in the mixer or being fed out from the mixer. Here, the diluent supplying apparatus can change a dilution proportion of the diluted slurry. | 12-03-2009 |
20090298394 | METHOD OF POLISHING SILICON WAFER - A silicon wafer is polished by applying a polishing solution substantially containing no abrasive grain onto a surface of a polishing pad having a given fixed grain bonded abrasive and then relatively sliding the polishing pad to a silicon wafer to polish the surface of the silicon wafer, wherein a hydroplane layer is formed by the polishing solution supplied between the surface of the silicon wafer and the surface of the polishing pad and a thickness of the hydroplane layer is controlled to change a polishing state of the surface of the silicon wafer. | 12-03-2009 |
20090298395 | APPARATUS AND METHOD FOR REDUCING REMOVAL FORCES FOR CMP PADS - An improvement in a polishing apparatus for planarizing substrates comprises a tenacious coating of a low-adhesion material to the platen surface. An expendable polishing pad is adhesively attached to the low-adhesion material, and may be removed for periodic replacement at much reduced expenditure of force. Polishing pads joined to low-adhesion materials such as polytetrafluoroethylene (PTFE) by conventional adhesives resist distortion during polishing but are readily removed for replacement. | 12-03-2009 |
20090311947 | Polishing Composition for Silicon Wafer and Polishing Method of Silicon Wafer - The present invention provides a polishing composition used in a polishing process of a silicon wafer, which has an improved smoothness and is environment-friendly. The polishing composition for the silicon wafer of the present invention comprises a metal oxide, an alkaline substance and water, wherein the alkaline substance is guanidines. Another polishing composition for a silicon wafer of the present invention comprises an alkaline substance and water, wherein the alkaline substance is guanidines. These polishing compositions may further comprise a chelating agent. The metal oxide is preferably a cerium oxide or a silicon oxide. The present invention encompasses a polishing method using the above polishing composition and a kit for the above polishing composition. | 12-17-2009 |
20100003896 | POLISHING PAD - A polishing pad capable of maintaining a high level of dimensional stability during absorption of moisture or water includes a polishing layer including a polyurethane foam having fine cells, wherein the polyurethane foam includes a cured product of a reaction of an isocyanate-terminated prepolymer (A), a polymerized diisocyanate, and a chain extender, and the isocyanate-terminated prepolymer (A) includes an isocyanate monomer, a high molecular weight polyol (a), and a low molecular weight polyol. A method for manufacturing such a polishing pad includes mixing a first component containing an isocyanate-terminated prepolymer with a second component containing a chain extender and curing the mixture to form a polyurethane foam. The pad so made is used in the manufacture of semiconductor devices. | 01-07-2010 |
20100003897 | METHODS OF POLISHING AN OBJECT USING SLURRY COMPOSITIONS - In a slurry composition for chemical mechanical polishing, a method of preparing the slurry composition and a method of polishing an object using the slurry composition, the slurry composition includes a cerium oxide abrasive particle having a rare earth element other than cerium as a dopant, and an aqueous medium for dispersing the cerium oxide abrasive particle. The cerium oxide abrasive particle doped with the rare earth element may have an enhanced fracture strength as being compared with a pure cerium oxide abrasive particle, and also may reduce an amount of large or agglomerated particles and generation of a scratch on a polished surface of an object. | 01-07-2010 |
20100003898 | SUBSTRATE RETAINING RING FOR CMP - The edge effect or variation in polishing edge profile on a substrate undergoing CMP is reduced by structuring a retaining ring, housed in a carrier head for retaining the substrate, such that the polishing edge profile shifts back and forth with respect to the center of the substrate. Embodiments include structuring the retaining ring such that the width between inner and outer surfaces varies by an amount sufficient to compensate for polishing edge profile variation. Embodiments also include structuring the retaining ring such that the distance from the outer surface to the geometric inner surface varies. Embodiments further include structuring the retaining ring such that the distance between the outer surface to the perimeter of the substrate retained by the inner surface of the retaining ring varies. | 01-07-2010 |
20100009602 | PORTABLE OPTICAL FIBER POLISHER - A polisher has an offset axis and a friction cam useful in a method of polishing that comprises a more random, elongated polishing pattern than known orbital polishers and is capable of portable use using a 9 volt battery. The method is capable of using lower contact pressures between fiber ends and a polishing surface and higher rates of rotation of the drive mechanism, reducing the polishing time for preparing fiber ends for applications. The polishing pattern changes when pressure is applied between a fiber end and the polishing surface. The fiber end provides one intermittent axis of rotation and the friction cam provides another intermittent axis of rotation. | 01-14-2010 |
20100015893 | POLISHING PAD - An object of the invention is to provide a polishing pad having excellent planarization performance and wear resistance and to provide a method for manufacture thereof. The invention is directed to a polishing pad including a polishing layer including a polyurethane foam having fine cells, wherein the polyurethane foam is a cured product of a reaction of (1) an isocyanate-terminated prepolymer (A) that is capable of reacting with 4,4′-methylenebis(o-chloroaniline) to form a non-foamed polyurethane having a tan δ peak temperature of 100° C. or more, (2) an isocyanate-terminated prepolymer (B) that is capable of reacting with 4,4′-methylenebis(o-chloroaniline) to form a non-foamed polyurethane having a tan δ peak temperature of 40° C. or less, and (3) 4,4′-methylenebis(o-chloroaniline), and the isocyanate-terminated prepolymers (A) and (B) are mixed in an (A)/(B) ratio of 50/50 to 90/10 (by wt %). | 01-21-2010 |
20100022171 | Glass polishing compositions and methods - The present invention provides glass polishing compositions and methods suitable for polishing a glass substrate at a down force of about 110 g/cm | 01-28-2010 |
20100029182 | POLISHING PAD - A polishing pad of excellent durability has a polishing layer is arranged on a base material layer, and the polishing layer comprises a thermosetting polyurethane foam having roughly spherical interconnected cells with an average cell diameter of 35 to 300 μm. | 02-04-2010 |
20100041317 | WORKPIECE PROCESSING METHOD - A method of processing a workpiece having a plurality of multi-crystal silicon columns embedded in single-crystal silicon includes a grinding step for grinding only the single-crystal silicon by use of a grinding tool and an abrasive step for concurrently polishing the single-crystal silicon and the multi-crystal silicon columns by use of an abrasive tool in a dry manner to expose all the heads of the multi-crystal silicon columns to the front surface of the single-crystal silicon. | 02-18-2010 |
20100048102 | POLISHING PAD - A polishing pad capable of maintaining a high level of dimensional stability upon moisture absorption or water absorption and providing high polishing rate includes a polishing layer of a polyurethane foam having fine cells, wherein the polyurethane foam includes a cured product of a reaction of (1) an isocyanate-terminated prepolymer (A) that includes an isocyanate monomer, a high molecular weight polyol (a), and a low molecular weight polyol, (2) an isocyanate-terminated prepolymer (B) that includes a polymerized diisocyanate and a polyethylene glycol with a number average molecular weight of 200 to 1,000, and (3) a chain extender. | 02-25-2010 |
20100056026 | POLISHING LIQUID COMPOSITION - A polishing liquid composition includes composite oxide particles containing cerium and zirconium, a dispersing agent, and an aqueous medium. A powder X-ray diffraction spectrum of the composite oxide particles obtained by CuKα1 ray (λ=0.154050 nm) irradiation includes a peak (first peak) having a peak top in a diffraction angle 2θ (θ is a Bragg angle) range of 28.61 to 29.67°, a peak (second peak) having a peak top in a diffraction angle 2θ range of 33.14 to 34.53°, a peak (third peak) having a peak top in a diffraction angle 2θ range of 47.57 to 49.63°, and a peak (fourth peak) having a peak top in a diffraction angle 2θ range of 56.45 to 58.91°. A half-width of the first peak is 0.8° or less. | 03-04-2010 |
20100062687 | CERIUM OXIDE POWDER FOR ABRASIVE AND CMP SLURRY COMPRISING THE SAME - Disclosed are cerium oxide powder for an abrasive; CMP slurry including the same; and a shallow trench isolation (STI) process using the CMP slurry. At least two kinds of cerium oxides prepared by using cerium carbonates having different crystal structures are mixed in an appropriate ratio and used as an abrasive for CMP slurry, thereby adjusting required polishing properties of the CMP slurry. Also, in a disclosed method of preparing a cerium carbonate, the crystal structure of the cerium carbonate can be easily controlled. Based on the finding that in a cerium oxide for an abrasive, the kind of improved polishing property depends on the crystal structure of a cerium carbonate, at least one from among polishing properties, such as the polishing rate of a silicon oxide layer, the polishing rate of a silicon nitride layer, the polishing selectivity between the silicon oxide layer and the silicon nitride layer, and WIWNU, can be adjusted by using at least two kinds of cerium oxides selected from the group including (i) a cerium oxide prepared by using a lanthanite-(Ce) crystal structured cerium carbonate, (ii) a cerium oxide prepared by using an orthorhombic crystal structured cerium carbonate, and (iii) a cerium oxide prepared by using a hexagonal crystal structured cerium carbonate, as an abrasive for CMP slurry, and adjusting the mixing ratio of the cerium oxides. | 03-11-2010 |
20100062688 | CARRIER PLATE HOLDING AN ABRADING ELEMENT AND ABRADING PLATE - The invention concerns a carrier plate holding an abrading element, for detachable mounting on a rotatably mounted abrading plate driven during use, for abrading stone or concrete floors, which carrier plate has sides which form an acute angle with each other. The sides have flanges which are formed for surrounding engagement with a shoulder arranged on the abrading plate so that the carrier plate can be fixed on the abrading plate with an engagement based on form and friction | 03-11-2010 |
20100075577 | METHOD OF POLISHING GLASS SUBSTRATE - An object of the present invention is to provide a polishing method for diminishing concave defects of a glass substrate used in a reflective mask for EUVL and the like. The invention relates to a method of polishing a glass substrate which comprises polishing a major surface of the glass substrate while feeding a polishing slurry between the glass substrate and a pad surface of a polishing pad, wherein the polishing load of the polishing pad is from 1 to 60 g/cm | 03-25-2010 |
20100099336 | Chemical mechanical polishing pad having integral identification feature - Chemical mechanical polishing pads having a polishing layer with a polishing surface adapted for polishing a substrate are provided, wherein the polishing layer has a unique integral identification feature; wherein the unique integral identification feature is non-polish active, wherein the unique integral identification feature comprises at least two visually distinct characteristics, wherein at least one of the at least two visually distinct indicia is a non-color based indicia, wherein one of the at least two visually distinct indicia is a color based indicia, and wherein the at least two visually distinct characteristics are selected to uniquely identify the chemical mechanical polishing pad as a type of chemical mechanical polishing pad selected from a plurality of types of chemical mechanical polishing pads; and, wherein the polishing layer has a polishing surface adapted for polishing the substrate. Also provided is a method of making such polishing layers and for using them to polish a substrate. | 04-22-2010 |
20100112905 | WAFER HEAD TEMPLATE FOR CHEMICAL MECHANICAL POLISHING AND A METHOD FOR ITS USE - The present invention is a planar template for a CMP tool polishing head possessing a means of securing a wafer in CMP polishing where the back surface of the template is held to the polishing head by retaining means and a method for using the planar template. | 05-06-2010 |
20100112906 | Chemical mechanical polishing composition and methods relating thereto - A method for chemical mechanical polishing of a substrate comprising a germanium-antimony-tellurium chalcogenide phase change alloy using a chemical mechanical polishing composition comprising water; 1 to 40 wt % colloidal silica abrasive particles having an average particle size of ≦50 nm; and 0 to 5 wt % quarternary ammonium compound; wherein the chemical mechanical polishing composition is oxidizer free and chelating agent free; and, wherein the chemical mechanical polishing composition has a pH >6 to 12. | 05-06-2010 |
20100120335 | Partial Contact Wafer Retaining Ring Apparatus - The partial contact wafer retaining ring apparatus is disclosed. For example, one disclosed embodiment provides a wafer retaining ring comprising a ring for retaining the wafer, the ring having an inner diameter surface configured to restrict lateral wafer motion, and at least one interface surface configured to interface with a polishing surface. The interface surface comprises a recessed section adjacent to the ring inner diameter, configured to preclude contact between the recessed section and the polishing surface. | 05-13-2010 |
20100130105 | Substrate supporting unit, and apparatus and method for polishing substrate using the same - Provided are a substrate supporting unit and an apparatus and method for polishing a substrate using the same. The substrate supporting unit vacuum-absorbs a bottom surface of the substrate during a polishing process, and supports the substrate in a state where the substrate is upwardly spaced from the substrate supporting unit to clean the substrate during a post-cleaning process. Therefore, in the substrate supporting unit and the apparatus and method for polishing the substrate using the same, a polishing process on a top surface of the substrate and a post-cleaning process on the top and bottom surfaces of the substrate may be sequentially performed in a state where the substrate is supported by a single wafer type substrate supporting unit. | 05-27-2010 |
20100136889 | TOOL FOR MACHINING STONE OR CONCRETE FLOORS - The invention relates to a machine and at least one tool ( | 06-03-2010 |
20100178849 | STONE WALL GRINDING AND POLISHING SYSTEM - A system, apparatus and method capable of grinding and polishing fabricated vertical surfaces of varying shapes and sizes without the use of hand tools. The system comprises a frame which can be affixed to the surface and which supports a grinding and polishing apparatus. The grinding and polishing apparatus uses air pressure to force a rotating grinding or polishing disc against the surface being worked on. The frame is designed so that the grinding and polishing apparatus can be moved (via, e.g., tracking) both vertically and horizontally to any desired point within the frame. The grinding and polishing apparatus optionally includes a water nozzle and vacuum system to spray and recapture water used to cool the surface being operated on. | 07-15-2010 |
20100184359 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A method for fabricating a semiconductor device through a chemical mechanical polishing (CMP) process is provided. The CMP process is performed by using a slurry. The semiconductor device fabrication method can ensure the reliability and economical efficiency of the device by performing a CMP process using a CMP slurry having a high polishing selectivity with respect to a target surface, an anti-scratch characteristic, and a high global planarization characteristic. | 07-22-2010 |
20100190414 | METHOD OF PROCESSING SYNTHETIC QUARTZ GLASS SUBSTRATE FOR SEMICONDUCTOR - Disclosed is a method of processing a synthetic quartz glass substrate for a semiconductor, wherein a polishing part of a rotary small-sized processing tool is put in contact with a surface of the synthetic quartz glass substrate in a contact area of 1 to 500 mm | 07-29-2010 |
20100197203 | METHOD FOR CREATING A COMPLEX SURFACE ON A SUBSTRATE OF GLASS - A method for creating a concave section of glass from a glass substrate having flat surfaces includes the use of a grinding wheel and a turntable. The method includes the steps of securing the glass substrates to the turntable. The turntable is then spun to create a turntable axis of rotation. The grinding wheel is rotated about a wheel axis of rotation such that the wheel axis of rotation is perpendicular to the turntable axis of rotation. The grinding wheel and the turntable move relative to each other along the turntable axis of rotation. The glass substrate is ground by the grinding wheel contacting the glass substrate to create the concave section of glass while the glass substrate is rotating about the wheel axis of rotation and moving relative to the turntable. | 08-05-2010 |
20100216375 | CYLINDRICAL GRINDER AND CYLINDRICAL GRINDING METHOD OF INGOT - A cylindrical grinder is disclosed that includes a support unit including an upper support device and a lower support device, in which an ingot of silicon single crystal is interposed in a direction of axis line between the upper support device and the lower support device and is clampingly held to be rotated around the axis line, and a grinding unit that relatively moves along the direction of axis line of the ingot to traverse grind an outer circumference of the ingot. The upper support device is placed at an upper position and the lower support device is placed at a lower position, so that the support unit clampingly holds the ingot in a state in which the direction of the axis line of the ingot is disposed along a vertical direction. | 08-26-2010 |
20100221984 | POLISHING PAD MANUFACTURING METHOD - A method for manufacturing a polishing pad prevents slurry leaks and provides a pad that can be used to provide high optical detection accuracy. The method for manufacturing a polishing pad includes forming a groove for injecting a light-transmitting region forming material on the back surface of a polishing layer; injecting the light-transmitting region forming material into the groove and curing the material to form a light-transmitting region; and buffing the front surface of the polishing layer to expose the light-transmitting region on the front surface. | 09-02-2010 |
20100221985 | CHEMICAL-MECHANICAL PLANARIZATION PAD INCLUDING PATTERNED STRUCTURAL DOMAINS - An aspect of the present disclosure relates to a chemical mechanical planarization pad including a first domain and a second continuous domain wherein the first domain includes discrete elements regularly spaced within the second continuous domain. The pad may be formed by forming a plurality of openings for a first domain within a second continuous domain of the pad, wherein the openings are regularly spaced within the second domain, and forming the first domain within the plurality of openings in second continuous domain. In addition, the pad may be used in polishing a substrate with a polishing slurry. | 09-02-2010 |
20100227533 | Chemical Mechanical Polishing Pad Having Window With Integral Identification Feature - Chemical mechanical polishing pads having a window with an integral identification feature, wherein the window has a polishing face and a nonpolishing face, wherein the integral identification feature is observable through the window, and wherein the integral identification feature identifies the chemical mechanical polishing pad as a type of chemical mechanical polishing pad selected from a plurality of types of chemical mechanical polishing pads. Also provided is a method of making such chemical mechanical polishing pads and for using them to polish a substrate selected from a magnetic substrate, an optical substrate and a semiconductor substrate. | 09-09-2010 |
20100227534 | Lower Unit for Glass Polishing System and Glass Polishing Method Using the Same - A lower unit for a glass polishing system includes a support installed to a rotatable turntable, and a carrier having a supporting part for supporting a glass to be polished, and a placing part formed in a surface opposite to the supporting part and fixed and placed to the support. | 09-09-2010 |
20100227535 | System and Method for Polishing Glass - A system for polishing a glass includes a lower unit capable of rotating a glass placed at a fixed position, an upper unit capable of contacting with the glass and being passively rotated due to the rotation of the glass, and a moving unit for moving the upper unit in a horizontal and/or vertical direction. The upper unit includes a fixed platter fixed to a spindle of the upper unit, a polishing platter installed movably with respect to the fixed platter, and a pressing member interposed between the fixed platter and the polishing platter so as to keep the uniformity of pressure applied from the polishing platter to the glass. | 09-09-2010 |
20100273399 | CMP POROUS PAD WITH PARTICLES IN A POLYMERIC MATRIX - The invention provides a chemical-mechanical polishing pad comprising a polymeric matrix and 0.1-15 wt. % of metal oxide particles. The polymeric matrix has pores, the metal oxide particles are uniformly distributed throughout the pores, and the metal oxide particles have a specific surface area of about 25 m | 10-28-2010 |
20100285726 | METHOD FOR MANUFACTURING A GLASS SUBSTRATE FOR A MAGNETIC DISC - The invention aims at providing a method for manufacturing a glass substrate for a magnetic disc capable of eliminating undulations of an outer peripheral end face of a glass substrate in a short processing time, and obtaining high roundness. In a method for manufacturing a disc-like glass substrate for a magnetic disc, the method includes a forming step of pressing a rotating grindstone against an outer peripheral end face while the glass substrate is rotated, thereby forming an outer peripheral end. In the forming step, processing is performed using a first condition (S | 11-11-2010 |
20100311311 | Carrier Head Membrane - A flexible membrane includes a horizontal central portion, a vertical portion coupled to the central portion, a thick rim portion coupled to the vertical portion, and an extension coupled to the thick rim portion. An outer surface of the horizontal central portion provides a mounting surface configured to receive a substrate. The thick rim portion has a thickness that is greater than a portion directly adjacent to the thick rim portion. The thick rim portion is between the extension and the vertical portion and a greatest dimension of the extension is less than the thickness of the thick rim portion. | 12-09-2010 |
20100311312 | DOUBLE-SIDE POLISHING APPARATUS AND METHOD FOR POLISHING BOTH SIDES OF WAFER - The double-side polishing apparatus is capable of uniformly polishing a wafer and highly preventing an outer edge of the wafer from being damaged. The apparatus comprises: a lower polishing plate and an upper polishing plate for polishing both sides of the wafer; a carrier having a main body part, in which a through-hole for holding the wafer is formed. Edges of the through-hole in an upper face and a lower face of the carrier are coated with coating layers, which are composed of an abrasion-resistant material and which have a prescribed width and a prescribed thickness. A resin cushion ring, which has a prescribed width and whose thickness is equal to that of the main body part of the carrier, is provided to an inner circumferential face of the thorough-hole. The wafer is held in the resin cushion ring. | 12-09-2010 |
20100317261 | Chemical mechanical polishing pad having a low defect integral window - A chemical mechanical polishing pad having a polishing layer with an integral window and a polishing surface adapted for polishing a substrate selected from a magnetic substrate, an optical substrate and a semiconductor substrate, wherein the formulation of the integral window provides improved defectivity performance during polishing. Also provided is a method of polishing a substrate using the chemical mechanical polishing pad. | 12-16-2010 |
20100317262 | ABRASIVE ARTICLE WITH UNIFORM HEIGHT ABRASIVE PARTICLES - A method of making an abrasive article including the step of preparing a master plate with a surface having a shape. Depositing a spacer layer on the surface of the master plate. A slurry containing an adhesive and abrasive particles is deposited on a surface of the spacer layer. A substrate embedded with abrasive particles having a surface generally complementary to the surface of the master plate is fabricated. A spacer layer is formed by various method controlled the height of the protruded abrasive particles. The master plate and the spacer layer are separated from the substrate to expose abrasive particle protruding a substantially uniform height. An abrasive article made according to this method is also disclosed. | 12-16-2010 |
20100317263 | POLISHING PAD - A method for manufacturing a polishing pad that has high level of optical detection accuracy and is prevented from causing slurry leak from between the polishing region and the light-transmitting region includes preparing a cell-dispersed urethane composition by a mechanical foaming method; placing a light-transmitting region at a predetermined position on a face material or a belt conveyor, continuously discharging the cell-dispersed urethane composition onto part of the face material or the belt conveyor where the light-transmitting region is not placed; placing another face material or belt conveyor on the discharged cell-dispersed urethane composition; curing the cell-dispersed urethane composition to form a polishing region including a polyurethane foam, so that a polishing sheet is prepared; applying a coating composition containing an aliphatic and/or alicyclic polyisocyanate to one side of the polishing sheet and curing the coating composition to form water-impermeable film; and cutting the polishing sheet. | 12-16-2010 |
20100323585 | Method For Chemically Grinding A Semiconductor Wafer On Both Sides - A semiconductor wafer processed on both sides simultaneously, the wafer lying in freely movable fashion in a cutout in one of a plurality of carriers that rotate by means of a rolling apparatus, and one thereby being moved on a cycloidal trajectory, the semiconductor wafer being processed in material-removing fashion between two rotating ring-shaped working disks, wherein each working disk comprises a working layer comprising abrasive material, and wherein an alkaline medium comprising no abrasive material is supplied during the processing. | 12-23-2010 |
20100323586 | METHODS FOR PRODUCING AND PROCESSING SEMICONDUCTOR WAFERS - Semiconductor wafers are polished by a material-removing polishing process A, on both sides of the wafer, using an abrasive-free polishing pad, and a polishing agent which contains abrasive; and a material-removing polishing process B, on at least one side of the wafer, using a polishing pad with a microstructured surface containing no materials which contact the wafer which are harder than the semiconductor material, and a polishing agent is added which has a pH≧ to 10 and contains no substances with abrasive action. Preferred is a method for producing a semiconductor wafer, comprising the following ordered steps: separating a semiconductor single crystal into wafers; simultaneously processing both sides of the wafer by chip-removing processing; polishing the wafer, comprising a polishing process A and a polishing process B; and CMP of one side of the wafer, removing <1 μm. | 12-23-2010 |
20100330881 | Method For The Double Sided Polishing Of A Semiconductor Wafer - Semiconductor wafers are double sided polished by a method of polishing a frontside of the wafer in a first step with a polishing pad with fixed abrasive and simultaneously polishing a backside of the wafer with a polishing pad containing no abrasive, but during which an abrasive polishing agent is introduced between the polishing pad and the backside of the wafer, inverting the wafer, and then in a second step polishing the backside of the wafer with a polishing pad containing fixed abrasive and simultaneously polishing the frontside of the wafer with a polishing pad containing no fixed abrasive, a polishing agent containing abrasive being introduced between the polishing pad and the frontside of the semiconductor wafer. | 12-30-2010 |
20100330882 | Polishing Pad and Method For Polishing A Semiconductor Wafer - A semiconductor wafer is polished, wherein in a first step, the rear side of the wafer is polished by a polishing pad comprising fixedly bonded abrasives having a grain size of 0.1-1.0 μm, while supplying a polishing agent free of solid materials having a pH of at least 11.8, and, in a second step, the front side of the semiconductor wafer is polished, wherein a polishing agent having a pH of less than 11.8 is supplied. A polishing pad for use in apparatuses for polishing semiconductor wafers, has a layer containing abrasives, a layer composed of a stiff plastic and also a compliant, non-woven layer, wherein the layers are bonded to one another by means of pressure-sensitive adhesive layers. | 12-30-2010 |
20100330883 | Method For The Local Polishing Of A Semiconductor Wafer - The edge region of one side of a semiconductor wafer is polished by pressing the wafer by means of a rotatable polishing head against a polishing pad lying on a rotating polishing plate, and containing fixed abrasive. The polishing head is provided with a resilient membrane radially subdivided into a plurality of chambers by gas or liquid cushions, the polishing pressure independently selectable for each chamber. The wafer is held in position during polishing by a retainer ring pressed against the polishing pad with an application pressure, a polishing agent is introduced between the wafer and the polishing pad, and the polishing pressure exerted on the wafer in a chamber lying in the edge region of the wafer of the polishing head, and the application pressure of the retainer ring, are selected so that material is essentially removed only at the edge of the wafer. | 12-30-2010 |
20110003536 | Polishing Pad and Method of Producing the Same - The present invention mainly relates to a polishing pad and method of producing the same. The polishing pad comprises a base material having a surface for polishing a substrate, wherein the surface comprises a non-woven fabric and an elastomer. The elastomer is embedded into the fabric, and the non-woven fabric comprises a plurality of first long fibers randomly entangled with each other. | 01-06-2011 |
20110028073 | CMP POLISHING SLURRY AND POLISHING METHOD - The present invention relates to a CMP polishing slurry, comprising cerium oxide particles, a dispersing agent, water-soluble polymer and water, wherein the water-soluble polymer is a compound having a skeleton of any one of an N-mono-substituted product and an N,N-di-substituted product of any one selected from the group consisting of acrylamide, methacrylamide and α-substituted products thereof. The amount of the water-soluble polymer is preferably in the range of 0.01 part or more by weight and 10 parts or less by weight for 100 parts by weight of the polishing slurry. Thus it is possible to provide a polishing slurry and a polishing method which make it possible to polish a film made of silicon oxide or the like effectively and rapidly and further control the process therefor easily in CMP technique for flattening an interlayer insulating film, a BPSG film, an insulator film for shallow trench isolation, and other films. | 02-03-2011 |
20110034110 | METHODS AND SYSTEMS FOR MARRING FIBER OPTIC SUBSTRATES - Methods and systems for marring fiber optic substrates may include rollers with abrasive surfaces that press lengths of the substrates against elongated supports, which may be tapered, during relative lengthwise movement between the rollers and supports; abrasive sheets that are vibrated against the substrates; abrasive flap wheels that are rotated to cause flexible abrasive flaps on the wheels to strike the substrates; rotating blades that cut a transverse marring pattern in the substrates; hammers having abrasive surfaces that are oscillated to strike the substrates; and water jet abrasive slurries that are directed at the substrates. | 02-10-2011 |
20110045744 | Polishing Pad, Use Thereof and Method for Making the Same - The present invention relates to a polishing pad that comprises a polishing sheet for polishing a substrate, a buffer sheet comprising a plurality of holes, and adhesive for adhering the buffer sheet to the polishing sheet; wherein the adhesive is formed by polymerizing macromolecules with fluidity. A method of polishing a substrate comprising using the polishing pad and a method for manufacturing the polishing pad described above are also provided. | 02-24-2011 |
20110045745 | Doped Ceria Abrasives with Controlled Morphology and Preparation Thereof - The present invention relates to doped ceria (CeO2) abrasive particles, having an essentially octahedral morphology. Such abrasives are used in water-based slurries for Chemical Mechanical Polishing (CMP) of subrates such as silicon wafers. The invention more particularly concerns yttrium-doped ceria particles having a specific surface area of 10 to 120 m2/g, characterized in that at least 95 wt %, preferably at least 99 wt %, of the particles are mono-crystalline and in that the particles' surfaces consist of more than 70%, preferably of more than 80%, of planes parallel to {111} planes. A novel gas phase process for synthesizing this product is also disclosed, comprising the steps of providing a hot gas stream, —and, introducing into said gas stream a cerium-bearing reactant, a dopant-bearing reactant, and an oxygen-bearing reactant, —the temperature of said gas stream being chosen so as to atomize said reactant, the reactant being selected so as to form, upon cooling, doped ceria particles. Abrasive slurries based on the above ceria offer a low level of induced detectivity in the polished substrate, while ensuring a good removal rate. | 02-24-2011 |
20110053465 | METHOD AND APPARATUS FOR LOCAL POLISHING CONTROL - A method and apparatus for local polishing and deposition control in a process cell is generally provided. In one embodiment, an apparatus for electrochemically processing a substrate is provided that selectively polishes discrete conductive portions of a substrate by controlling an electrical bias profile across a processing area, thereby controlling processing rates between two or more conductive portions of the substrate. | 03-03-2011 |
20110059682 | ADHESIVE SHEET AND PROCESS FOR MANUFACTURING ELECTRONIC PART - An adhesive sheet comprising a sheetlike base material and, superimposed on one major surface thereof, an adhesive layer, wherein the average surface roughness on one major surface of the base material is in the range of 0.1 to 3.5 μm and on the other major surface thereof is in the range of 0.05 to 0.7 μm. In this structure, the average surface roughness values on both the major surfaces of the base material as a constituent of the adhesive sheet are regulated so as to fall within respective specified ranges, so that not only can any blocking occurring in backwinding of reeled adhesive sheet be inhibited but also any occurrence of minute unevenness (waving) on the wafer surface after grinding can be inhibited, and further that the transparency of the adhesive sheet can be maintained. | 03-10-2011 |
20110059683 | POLISHING COMPOSITION - A polishing composition includes a copolymer and an abrasive. The copolymer has a constitutional unit expressed as the following formula (I) and at least one of constitutional units expressed as the following formulae (II) to (IV). Methoxypolyethylene glycol methacrylate etc. are used as monomers for forming the constitutional unit of the formula (I), stearyl methacrylate etc. are used as monomers for forming the constitutional unit of the formula (II), polypropylene glycol methacrylate etc. are used as monomers for forming the constitutional unit of the formula (III), and styrene etc. are used as monomers for forming the constitutional unit of the formula (IV). | 03-10-2011 |
20110065364 | METAL CATIONS FOR INITIATING POLISHING - The invention provides methods for planarizing or polishing a metal surface. The method comprises a composition comprising an abrasive, cesium ions, and a liquid carrier comprising water. | 03-17-2011 |
20110070810 | MULTIPLE ZONE CARRIER HEAD WITH FLEXIBLE MEMBRANE - A carrier head for chemical mechanical polishing of a substrate includes a base and a flexible membrane extending beneath the base. The flexible membrane includes a central portion with an outer surface providing a substrate receiving surface, a perimeter portion connecting the central portion to the base, and at least one flap extending from an inner surface of the central portion. The flap divides a volume between the flexible membrane and the base into a plurality of chambers, and the flap includes a laterally extending first section and an angled second section extending beneath the first section and connecting the laterally extending first section to the central portion. | 03-24-2011 |
20110081835 | Method for making polished gemstones and an abrasive material for doing same - A method for shaping a gemstone comprising the steps of 1) attaching a gemstone to a dop, 2) holding the gemstone against a rotating lap wheel, and 3) shaping the gemstone on the lap wheel. The surface of the lap wheel is a metal abrasive material comprising a base having a plurality of pyramidal shapes protruding therefrom, a portion of the protrusions having a substantially polygonal base and triangular sides which meet at an apex which substantially forms a point, hereinafter pyramidal protrusions, and a portion of the protrusions having a substantially polygonal base and substantially trapezoidal sides with the portion thereof distant from the base surface forming a plateau such that the protrusions are substantially butte-like in shape, hereinafter termed butte protrusions, the protrusions providing intermixing cutting and planing edges, the ratio of the pyramidal protrusions to the butte protrusions ranging from 100:0 to 0:100. Also provided is the metal abrasive material used to carry out the method. | 04-07-2011 |
20110081836 | METHOD FOR GRINDING A SEMICONDUCTOR WAFER - A method for processing a semiconductor wafer includes bringing at least one grinding tool in contact with the semiconductor wafer; removing material from the semiconductor wafer using the grinding tool; disposing a liquid medium having a viscosity of at least 3×10 | 04-07-2011 |
20110092138 | Method of manufacturing ferrule assemblies - A method of manufacturing a ferrule assembly. The method including first and second polishing operations. The first polishing operation including polishing only the end face of a ferrule of an assembly. The second polishing operation including polishing only the optical fiber of the assembly. | 04-21-2011 |
20110097974 | METHOD FOR POLISHING A SEMICONDUCTOR WAFER - A method of polishing a semiconductor wafer using a holding system including a lined cutout the size of the semiconductor wafer that is fixed to a carrier. The method includes holding the semiconductor wafer in the cutout through adhesion of a first side of the semiconductor wafer to a bearing surface in the cutout and polishing a second side of the held semiconductor wafer using a polishing pad that is fixed on a polishing plate while introducing a polishing agent between the second side of the semiconductor wafer and the polishing pad, the polishing pad including fixedly bonded abrasive materials. The carrier is guided during polishing such that a portion of the second side of the semiconductor wafer temporarily projects beyond a lateral edge of a surface of the polishing pad. | 04-28-2011 |
20110104994 | SEMICONDUCTOR WAFER RE-USE USING CHEMICAL MECHANICAL POLISHING - Methods and apparatus for reducing damage of a semiconductor donor wafer include the steps of: (a) rotating a polishing pad, rotating the semiconductor donor wafer, applying a polishing slurry to the polishing pad, and pressing the semiconductor donor wafer and the polishing pad together; and (b) rotating the polishing pad and the semiconductor donor wafer, discontinuing the application of the polishing slurry, applying a rinsing fluid to the polishing pad, and, pressing the semiconductor donor wafer and the polishing pad together, wherein step (a) followed by step (b) is carried out in sequence at least two times, and at least one of the following are reduced in at least two successive intervals of step (a): (i) a pressure at which the semiconductor donor wafer and the polishing pad are pressed together, (ii) a mean particle size of an abrasive within the polishing slurry, and (iii) a concentration of the slurry in water and stabilizers. | 05-05-2011 |
20110104995 | CARRIER FOR A DOUBLE-SIDE POLISHING APPARATUS, DOUBLE-SIDE POLISHING APPARATUS USING THIS CARRIER, AND DOUBLE-SIDE POLISHING METHOD - A carrier for a double-side polishing apparatus comprising at least: a metallic carrier base that is arranged between upper and lower turn tables having polishing pads attached thereto and has a holding hole formed therein to hold a wafer sandwiched between the upper and lower turn tables at the time of polishing; and a ring-like resin insert that is arranged along an inner peripheral portion of the holding hole of the carrier base and is in contact with a peripheral portion of the held wafer, wherein an inner peripheral end portion of the holding hole of the carrier base has an upwardly opening tapered surface, an outer peripheral portion of the ring-like insert has a reverse tapered surface with respect to the tapered surface of the holding hole of the carrier base, and the resin insert is fitted in the holding hole of the carrier base through the tapered surface. | 05-05-2011 |
20110117822 | DRESSING JIG FOR GLASS SUBSTRATE POLISHING PAD - Dressing treatment of a polishing pad, adjusting a polishing surface of the polishing pad to given flatness and surface roughness without deteriorating productivity, a method for polishing a glass substrate, including polishing a main surface of a glass substrate using the polishing pad adjusted by the dressing treatment, and a method for manufacturing a glass substrate using the polishing method are provided. A dressing jig having arithmetic surface roughness on a surface performing dressing treatment of from 0.10 μm to 2.5 μm is used as the dressing jig for adjusting a polishing surface of the polishing pad to given flatness and surface roughness. A main surface of the glass substrate is polished with the polishing surface of the polishing pad having been subjected to a dressing treatment using the dressing jig. | 05-19-2011 |
20110124271 | CARRIER FOR DOUBLE-SIDE POLISHING APPARATUS, DOUBLE-SIDE POLISHING APPARATUS USING THE SAME, AND DOUBLE-SIDE POLISHING METHOD - A carrier for a double-side polishing apparatus, including at least: a carrier base placed between upper and lower turn tables, the carrier base having a holding hole therein, the holding hole holds the wafer sandwiched between the upper and lower turn tables. A ring-shaped resin ring disposed along an inner circumference of the holding hole, the resin ring protecting a chamfered portion by making contact with the chamfered portion of the held wafer, wherein the resin ring has a concave groove on an inner circumference thereof, upper and lower tapered surfaces are formed in the concave groove. A double-side polishing apparatus using the carrier and a double-side polishing method that can reduce the generation of taper in a polished surface and improve the flatness while suppressing the generation of an outer peripheral sag of the wafer. | 05-26-2011 |
20110130077 | POLISHING PAD, COMPOSITION FOR THE MANUFACTURE THEREOF, AND METHOD OF MAKING AND USING - A polyurethane layer for forming a polishing pad for a semiconductor wafer is described, wherein the polyurethane layer comprises: a foamed polyurethane, wherein the polyurethane foam has a density of about 640 to about 960 kg/m | 06-02-2011 |
20110136411 | METHOD AND APPARATUS FOR POLISHING A SUBSTRATE HAVING A GRINDED BACK SURFACE - A method capable of quickly polishing an angular portion formed by a grinded back surface and a circumferential surface of a substrate without causing damages on the thin substrate is provided. The method includes rotating the substrate about its center, and pressing a polishing tape against the angular portion formed by the back surface and the circumferential surface of the substrate to polish the angular portion. | 06-09-2011 |
20110151752 | PROCESS FOR PRODUCING GLASS SUBSTRATE - The present invention relates to a process for producing a glass substrate, the process including: a lapping step of grinding a main surface of a glass substrate; and a main-surface polishing step of polishing the main surface of the glass substrate after the lapping step, in which the lapping step includes: a primary lapping step of grinding the main surface of the glass substrate with a free abrasive or a fixed abrasive; a secondary lapping step of grinding the main surface of the glass substrate with a fixed abrasive having a smaller particle size than the free abrasive or the fixed abrasive used in the primary lapping step; and a cleaning step of cleaning the main surface of the glass substrate by at least one selected from the group consisting of ultrasonic cleaning, scrub cleaning and acid cleaning, after the primary lapping step but before the secondary lapping step. | 06-23-2011 |
20110165823 | SEMICONDUCTOR SUBSTRATE PLANARIZATION APPARATUS AND PLANARIZATION METHOD - A planarization apparatus and method that thins and planarizes a substrate by grinding and polishing the rear surface of the substrate with high throughput, and that fabricates a semiconductor substrate with reduced adhered contaminants. A planarization apparatus that houses various mechanism elements in semiconductor substrate loading/unloading stage chamber, a rear-surface polishing stage chamber, and a rear-surface grinding stage chamber. The throughput time of the rear-surface polishing stage that simultaneously polishes two substrates is typically about double the throughput time of the rear-surface grinding stage that grinds one substrate. | 07-07-2011 |
20110165824 | GLASS SUBSTRATE FOR INFORMATION RECORDING MEDIUM AND METHOD FOR MANUFACTURING THE SAME - The present invention relates to a method for manufacturing a glass substrate for an information recording medium having a high level of cleanness and superior smoothness. The manufacturing method includes a step for washing a disk-shaped glass plate with an acid washing liquid, a step for removing at least part of a surface layer, which is formed on the surface of the glass plate, by performing grinding with diamond abrasion grains, and a step for washing the surface with a neutral or alkaline washing liquid. | 07-07-2011 |
20110183582 | METHOD FOR PRODUCING A SEMICONDUCTOR WAFER - A method of producing a semiconductor wafer includes a plurality of steps carried out in the following order. Simultaneous double-side material-removal processing is carried out on a semiconductor wafer sliced from a single crystal by processing the semiconductor wafer between two rotating ring-shaped working disks. Each working disk includes first abrasives having an average grain size in a range of 5.0 to 20.0 μm. Both sides of the semiconductor wafer are treated with an alkaline medium. Grinding of the front and rear sides of the semiconductor wafer is carried out. For the grinding of each side a first side is held using a wafer holder and the other side is processed using a grinding tool. The grinding tool includes second abrasives having an average grain size that is smaller than the average grain size of the first abrasives and having an average grain size being in a range of 1.0 to 10.0 μm. Both sides are polished using a polishing pad including third abrasives having an average grain size in a range of 0.1 to 1.0 μm. The front side is polished using a stock removal polishing pad that is free of abrasives and a polishing agent containing fourth abrasives. The front side is then chemical mechanical polished. | 07-28-2011 |
20110183583 | Polishing Pad with Floating Elements and Method of Making and Using the Same - The disclosure is directed to polishing pads with floating polishing elements bonded to a support layer, for example by thermal bonding, and to methods of making and using such pads in a polishing process. In one exemplary embodiment, the polishing pad includes a multiplicity of polishing elements, at least some of which may be porous, each polishing element affixed to a major surface of a support layer so as to restrict lateral movement of the polishing elements with respect to one or more of the other polishing elements, but remaining moveable in an axis substantially normal to the support layer. In certain embodiments, the polishing pad may additionally include a compliant layer affixed to the support layer opposite the polishing elements, and optionally, a polishing composition distribution layer. In some embodiments using porous polishing elements, the pores are distributed substantially at a polishing surface of the polishing elements. | 07-28-2011 |
20110189928 | WAFER MOUNT TAPE, WAFER PROCESSING APPARATUS AND METHOD OF USING THE SAME FOR USE IN THINNING WAFERS - A wafer mount tape, a wafer processing apparatus and an associated method of using the wafer mount tape for use in wafer thinning operations is presented. The wafer mount tape includes a tape body, a first adhesive member and a second adhesive member. The tape body has a first region, a second region and a third region. The first region of the tape body is for being disposed onto a wafer. The second region of the tape body is defined along a periphery of the first region. The third region of the tape body is defined along a periphery of the second region. The first adhesive is member is disposed at the first region. The second adhesive member is disposed at the third region. | 08-04-2011 |
20110195639 | RETAINING RING WITH SHAPED SURFACE - A retaining ring can be shaped by machining or lapping the bottom surface of the ring to form a shaped profile in the bottom surface. The bottom surface of the retaining ring can include flat, sloped and curved portions. The lapping can be performed using a machine that dedicated for use in lapping the bottom surface of retaining rings. During the lapping the ring can be permitted to rotate freely about an axis of the ring. The bottom surface of the retaining ring can have curved or flat portions. | 08-11-2011 |
20110212669 | METHOD FOR MANUFACTURING GLASS SUBSTRATE FOR MAGNETIC RECORDING MEDIUM - The present invention relates to a method for manufacturing a disk-shaped glass substrate for a magnetic recording medium, having a circular hole at the center thereof, the method including: a shape-forming step of performing shape forming to a glass substrate having a sheet shape; a polishing step of polishing a main surface of the glass substrate; and a cleaning step of cleaning the glass substrate, in which the polishing step includes a finish polishing step of simultaneously polishing both main surfaces of the glass substrate using a polishing slurry containing abrasives having an average particle diameter of 100 nm or less; and the glass substrate polished in the finish polishing step has a thickness deviation among glass substrates polished in the same lot of 1.5 μm or less. | 09-01-2011 |
20110237163 | SUBSTRATE POLISHING APPARATUS AND METHOD - A substrate polishing apparatus includes a substrate holding mechanism having a head for holding a substrate to be polished, and a polishing mechanism including a polishing table with a polishing pad mounted thereon. The substrate held by the head is pressed against the polishing pad on the polishing table to polish the substrate by relative movement of the substrate and the polishing pad. The substrate polishing apparatus also includes a substrate transfer mechanism for delivering the substrate to be polished to the head and receiving the polished substrate. The substrate transfer mechanism includes a substrate to-be-polished receiver for receiving the substrate to be polished, and a polished substrate receiver for receiving the substrate which has been polished. | 09-29-2011 |
20110244761 | Method of making conductive Group lll Nitride single crystal substrate - A method of making a conductive group III nitride single crystal substrate includes feeding to a seed crystal a group III raw material gas, a group V raw material gas, and a doping raw material gas diluted with N | 10-06-2011 |
20110244762 | METHOD FOR THE DOUBLE-SIDE POLISHING OF A SEMICONDUCTOR WAFER - A method for double-side polishing of a semiconductor wafer includes situating the semiconductor wafer in a cutout of a carrier that is disposed in a working gap between an upper polishing plate covered by a first polishing pad and a lower polishing plate covered by a second polishing pad. The first and second polishing pads each include tiled square segments that are formed by an arrangement of channels on the pads, where the square segments of the first pad are larger than the segments of the second pad. The square segments of the polishing pads include abrasives. During polishing, the carrier is guided such that a portion of the wafer temporarily projects laterally outside of the working gap. A polishing agent with a pH that is variable is supplied during polishing at a pH in a range of 11 to 12.5 during a first step and at a pH of at least 13 during a second step. | 10-06-2011 |
20110256811 | POLISHING METHOD - A polishing method can obtain a good polishing profile which, for example, will not cause peeling of a semiconductor layer from a silicon substrate. The polishing method includes: positioning a polishing head at a position above a polishing start position in an edge portion of a rotating substrate; lowering a polishing tool of the polishing head until the polishing tool comes into contact with the polishing start position in the edge portion of the rotating substrate and a pressure between the polishing tool and the polishing start position reaches a set pressure; allowing the polishing tool to stay at the polishing start position for a predetermined amount of time; and then moving the polishing head toward a peripheral end of the substrate while keeping the polishing tool in contact with the edge portion of the rotating substrate at the set pressure. | 10-20-2011 |
20110269380 | Base layer, polishing pad including the same and polishing method - A polishing pad including a polishing layer and a base layer is provided. Disposed under the polishing layer, the base layer includes a porous inner layer and at least one surface layer. The porous inner layer has an upper surface and a lower surface. The surface layer is disposed on at least one of the upper surface and the lower surface of the porous inner layer. The surface layer has a pore ratio no larger than 0.3%, or is completely non-porous. | 11-03-2011 |
20110275286 | Method and System for Polishing Materials Using a Nonaqueous Magnetorheological Fluid - A nonaqueous magnetorheological fluid includes a primarily organic carrier liquid and magnetizable particles. The magnetorheological fluid also includes a buffer, a stabilizer, and water. A pH of the magnetorheological fluid is between 6.5 and 9.0. | 11-10-2011 |
20110318994 | METHOD OF PREPARING AN EDGE-STRENGTHENED ARTICLE - A method of preparing an edge-strengthened article comprises polishing of an edge of an article having a first edge strength using magnetorheological finishing, wherein after the polishing the article has a second edge strength and the second edge strength is greater than the first edge strength. | 12-29-2011 |
20110318995 | METHOD FOR MANUFACTURING ELECTRONIC GRADE SYNTHETIC QUARTZ GLASS SUBSTRATE - An electronic grade synthetic quartz glass substrate is manufactured by machining a synthetic quartz glass substrate to form a recess, channel or step and polishing the bottom and side surfaces of the recess, channel or step to mirror finish by a working portion of a rotary polishing tool while keeping the working portion in contact with the bottom and side surfaces under independent constant pressures. | 12-29-2011 |
20110318996 | METHOD FOR MANUFACTURING ELECTRONIC GRADE SYNTHETIC QUARTZ GLASS SUBSTRATE - An electronic grade synthetic quartz glass substrate having a recess, channel or step is manufactured by machining at least one surface of a synthetic quartz glass substrate having a maximum birefringence of up to 3 nm/cm in its entirety to form a recess, channel or step, and removing the residual stress due to machining. | 12-29-2011 |
20120015588 | METHODS AND SYSTEMS FOR MARRING FIBER OPTIC SUBSTRATES - A method of marring a fiber optic substrate by directing a water jet abrasive slurry at the substrate while causing relative movement between an elongate support for the substrate and the water jet abrasive slurry to produce a marring pattern on the substrate. The pressure of the water jet abrasive slurry and/or the rate of relative movement between the elongate support and the water jet abrasive slurry may be varied to produce a variable marring pattern on the substrate. | 01-19-2012 |
20120021677 | METHOD OF MANUFACTURING GLASS SUBSTRATE FOR MAGNETIC DISK, METHOD OF MANUFACTURING MAGNETIC DISK, AND POLISHING APPARATUS OF GLASS SUBSTRATE FOR MAGNETIC DISK - A method of manufacturing a glass substrate for a magnetic disk having polishing accuracy on an inner circumferential end face of the substrate, and reduced thermal asperities. An inner circumferential end face of a cylindrical polishing object | 01-26-2012 |
20120028547 | SEMICONDUCTOR WAFER POLISHING METHOD AND POLISHING PAD SHAPING JIG - Disclosed is a semiconductor wafer polishing method for polishing the surfaces to be polished of semiconductor wafers by use of polishing pads ( | 02-02-2012 |
20120034850 | METHOD FOR PRODUCING SILICON EPITAXIAL WAFER - The method for producing a silicon epitaxial wafer according to the present invention has: a growth step F at which an epitaxial layer is grown on a silicon single crystal substrate; a first polishing step D at which, before the growth step, at least a front surface of the silicon single crystal substrate is polished without using abrasive grains; and a second polishing step G at which at least the front surface of the silicon single crystal substrate is subjected to finish polishing after the growth step. | 02-09-2012 |
20120040591 | Replaceable cover for membrane carrier - A replaceable cover secured to the surface of a membrane positioned on a wafer carrier. | 02-16-2012 |
20120045971 | METHOD OF MANUFACTURING GLASS SUBSTRATE FOR MAGNETIC RECORDING MEDIA - The invention provides a method of manufacturing a glass substrate for magnetic recording media. And the glass substrate has high surface smoothness and little waviness. In the primary, secondary and tertiary lapping process, diamond pads | 02-23-2012 |
20120045972 | A CERIUM-BASED PARTICLE COMPOSITION AND THE PREPARATION THEREOF - A cerium based particle composition comprises: 50-90% by weight of cerium oxide, and at least 10% by weight of lanthanum oxide. The method to prepare the particle composition comprises: one or more water soluble salts of transition metal elements and/or alkaline metal elements are mixed with CeLaCl | 02-23-2012 |
20120058712 | POLISHING PADS FOR CHEMICAL MECHANICAL PLANARIZATION AND/OR OTHER POLISHING METHODS - Embodiments herein provide polishing pads that produce high post-polish planarity, such as on a wafer substrate or other substrates. Exemplary pads include a bulk matrix and embedded polymer particles. Pads according to embodiments herein may be used to remove material over a composite substrate, comprised of two or more different materials, or a substrate comprised of a single material. | 03-08-2012 |
20120058713 | METHOD FOR CONSTRUCTION, STRENGTHENING AND HOMOGENIZATION OF A WAFER - The present invention provides a method for strengthening, homogenization and construction of a wafer. The concave and convex portions are processed by laser or etching, and then formed at intervals on the grinding surface of the wafer. The concave and convex portions are round or polygonal shapes. With the alternated arrangement of the concave and convex portions, a mesh structure of consistent construction is formed on the grinding surface of the wafer, making it possible to cut down greatly the interference and influence generated by the texture of grinding surface, and improve substantially the structural strength of the grinding surface for a consistent quality of wafer with better applicability and industrial benefits. | 03-08-2012 |
20120071064 | FIXED ABRASIVE-GRAIN PROCESSING DEVICE, METHOD OF FIXED ABRASIVE-GRAIN PROCESSING, AND METHOD FOR PRODUCING SEMICONDUCTOR WAFER - Disclosure relates to a fixed abrasive-grain processing device and a method of fixed abrasive-grain processing used for producing a semiconductor wafer, and a method for producing a semiconductor wafer which make the surface of the semiconductor wafer possible to have preferable flatness and which can prevent the number of steps and the installation area of facilities from increasing. The producing of semiconductor wafers uses a fixed abrasive-grain processing device including a lower fixed abrasive-grain layer that is adjacent to the top surface of the lower surface-plate and that grinds the top surfaces of the plurality of semiconductor wafers; an upper fixed abrasive-grain layer that is adjacent to the bottom surface of the upper surface-plate and that grinds the bottom surfaces of the plurality of semiconductor wafers; a carrier plate that is horizontally interposed between the lower surface-plate and the upper surface-plate and that includes a plurality of holes each accommodating one of the plurality of semiconductor wafers; and a carrier rotating device that circularly moves the carrier plate, wherein the lower fixed abrasive-grain layer and the upper fixed abrasive-grain layer include fixed abrasive grain having a diameter of 4 μm or less and being dispersed and fixed in elastic members. | 03-22-2012 |
20120083189 | CHEMICAL MECHANICAL POLISHING APPARATUS HAVING PAD CONDITIONING DISK AND PRE-CONDITIONER UNIT - A pad conditioning disk, a pre-conditioning unit, and a CMP apparatus having the same are provided. The pad conditioning disk includes a base in which mountain-type tips and valley-type grooves are repeatedly connected to each other, and a cutting layer formed on the base layer. The cutting layer including conditioning particles deposited on surfaces of the tips and grooves. A surfaces roughness of conditioning particles deposited on the surfaces of the tips is less than a surface roughness of conditioning particles deposited on the surfaces of the grooves. | 04-05-2012 |
20120100784 | Microfiber Reinforcement for Abrasive Tools - An abrasive article includes an organic bond material, an abrasive material dispersed in the organic bond material, mineral wool microfibers uniformly dispersed in the organic bond material, the mineral wool microfibers being individual filaments, one or more reinforcement and/or chopped strand fibers dispersed in the organic bond material and one or more active fillers including, for example, a manganese compound. The abrasive article can be used in the abrasive processing of a workpiece. | 04-26-2012 |
20120100785 | METHOD FOR CHAMFERING WAFER - In a conventional wafer chamfering process, the chamfered shape (cross-sectional shape) of the wafer circumference is uniform. However, in the chamfering step in wafer manufacture, the uniform chamfered shape varies with respective circumferential positions. Therefore, a wafer chamfering method that takes into account deformation in the chamfering step in the wafer manufacture is to be provided. The wafer chamfering method is for chamfering a wafer by bringing a grooveless grindstone into contact with the edge (circumferential end) of a wafer. By this wafer chamfering method, a movement trajectory formed by moving the wafer and the grindstone in a relative manner in the Z-axis and Y-axis directions and forming the same cross-sectional shape on the entire wafer circumference is set as a reference. So as to perform a processing operation in which the relative positions of the wafer and the grindstone are changed from positions on the reference trajectory at least in one of the Z-axis and Y-axis directions depending on wafer rotation angle positions, different cross-sectional shapes are formed depending on the wafer rotation angle positions with the use of a piezoelectric actuator. | 04-26-2012 |
20120100786 | METHOD OF MANUFACTURING GLASS SUBSTRATE FOR MAGNETIC RECORDING MEDIA - The invention provides a method of manufacturing a glass substrate for magnetic recording media. And the glass substrate has high surface smoothness and little waviness. In the primary, secondary and tertiary lapping process, diamond pads | 04-26-2012 |
20120108148 | Method of Modifying Flat Glass Surface and Apparatus for Carrying Out the Method - The method of modifying the flat glass surface is based on that the surface of a glass is worked by abrasive grains of synthetic diamond which are situated in the mass of plastic threads that are a part of a rotating brush. The glass is first tarnished using brushes with rougher abrasive grains of synthetic diamond and then tarnishing is finished using brushes with smaller abrasive grains. With the brushes, it is also possible to modify already sandblasted glass surface. The apparatus for modifying glass surface is made up of at least one rotating brush ( | 05-03-2012 |
20120108149 | METHOD FOR MANUFACTURING POLISHING PAD - A method for manufacturing a polishing pad, which may be laminated, with a small number of manufacturing steps, high productivity and no peeling between a polishing layer and a cushion layer includes preparing a cell-dispersed urethane composition by a mechanical foaming method; continuously discharging the cell-dispersed urethane composition onto a face material, while feeding the face material; laminating another face material on the cell-dispersed urethane composition; curing the cell-dispersed urethane composition, while controlling its thickness to be uniform, so that a polishing layer including a polyurethane foam is formed; cutting the polishing layer parallel to the face into two pieces so that two long polishing layers each including the polishing layer and the face material are simultaneously formed; and cutting the long polishing layers to produce the polishing pad. | 05-03-2012 |
20120156970 | METHOD FOR THE SIMULTANEOUS MATERIAL-REMOVING PROCESSING OF BOTH SIDES OF AT LEAST THREE SEMICONDUCTOR WAFERS - A method for the simultaneous material-removing processing of both sides of at least three semiconductor wafers includes providing a double-side processing apparatus including two rotating ring-shaped working disks and a rolling apparatus. The carriers are arranged in the double-side processing apparatus and the openings are disposed in the carriers so as to satisfy the inequality: | 06-21-2012 |
20120156971 | METHOD AND TOOL FOR MAINTENANCE OF HARD SURFACES, AND A METHOD FOR MANUFACTURING SUCH A TOOL - A method is disclosed for maintaining a hard surface, the method comprising treating of the surface with a flexible pad ( | 06-21-2012 |
20120190276 | POLISHING PAD AND METHODS FOR MANUFACTURING AND USING THE SAME - This invention provides a polishing pad, which includes a substrate and a plurality of discrete abrasive blocks fixed on the substrate, wherein the abrasive blocks are of at least two kinds of heights. This invention would not reduce the polishing rate dramatically during the process for polishing a wafer by using the polishing pad in the long run. Therefore a thickness of the wafer to be polished is able to be controlled accurately, thereby improving the effect and yield of polishing the wafer. The present invention further provides a method for manufacturing the polishing pad. The polishing pad according to this invention is manufactured conveniently by using this method. Correspondingly, a method for using the polishing pad is also provided in this invention. | 07-26-2012 |
20120190277 | INSERT CARRIER AND METHOD FOR THE SIMULTANEOUS DOUBLE-SIDE MATERIAL-REMOVING PROCESSING OF SEMICONDUCTOR WAFERS - An insert carrier is configured to receive at least one semiconductor wafer for double-side processing of the wafer between two working disks of a lapping, grinding or polishing process. The insert carrier includes a core of a first material that has a first surface and a second surface, and at least one opening configured to receive a semiconductor wafer. A coating at least partially covers the first and second surfaces of the core. The coating includes a surface remote from the core that includes a structuring including elevations and depressions. A correlation length of the elevations and depressions is in a range of 0.5 mm to 25 mm and an aspect ratio of the structuring is in a range of 0.0004 to 0.4. | 07-26-2012 |
20120196512 | POLISHING PAD AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE - A polishing pad includes a first pad portion and a second pad portion disposed therearound, and each of the first and second pad portions is replaced individually. A CMP apparatus with the polishing pad (first and second pad portions) attached thereto conducts polishing of a semiconductor wafer. The second pad portion is replaced with a replacement second pad portion when the total polishing time reaches a predetermined period of time. | 08-02-2012 |
20120231705 | METHOD AND APPARATUS FOR MANUFACTURING A SEMICONDUCTOR DEVICE - A method of transporting a semiconductor wafer having a ring-shaped stiffening portion can include the steps of pressing the semiconductor wafer from the back surface side to the front surface side thereof on a place different from a place at which the semiconductor wafer is to be held, the step of pressing the semiconductor wafer being conducted before holding the semiconductor wafer having the ring-shaped stiffening portion. The method can include releasing the attachment by suction of the front surface of the semiconductor wafer by supplying a positive pressure onto the chuck table, releasing pressing the semiconductor wafer from the back surface side to the front surface side thereof on the place different from the place at which the semiconductor wafer is to be held and picking up the semiconductor wafer having the ring-shaped stiffening portion from the chuck table while holding the semiconductor wafer. | 09-13-2012 |
20120231706 | GRINDING MACHINE FOR OPTICAL GLASS AND ASSOCIATED METHOD OF GRINDING - This machine includes a base frame ( | 09-13-2012 |
20120252323 | CUTTING METHOD OF HONEYCOMB DRIED BODY AND HONEYCOMB DRIED BODY CUTTING DEVICE - There is disclosed cutting means of a honeycomb dried body in which cracks are not easily generated in the honeycomb dried body, even when a grindstone continues to be used for a long period of time, a cutting speed is raised and a cutting object is a honeycomb dried body having a large diameter. There is provided a cutting method of a honeycomb dried body to cut the honeycomb dried body while applying a force Pm which resists a thrust force Pt required for the cutting and a weight Pw of a cutoff section, to a portion which becomes the cutoff section, | 10-04-2012 |
20120252324 | Chemical Mechanical Polishing Pad and Methods of Making and Using Same - A method of making shape memory chemical mechanical polishing pads is provided, wherein the shape memory chemical mechanical polishing pads comprise a polishing layer in a densified state. Also provided is a method for using the shape memory chemical mechanical polishing pads to polish substrates. | 10-04-2012 |
20120276819 | Chemical Mechanical Polishing Composition and Method For Polishing Phase Change Alloys - A method for chemical mechanical polishing of a substrate comprising a germanium-antimony-tellurium chalcogenide phase change alloy (GST) using a chemical mechanical polishing composition comprising, as initial components: water; an abrasive; at least one of a phthalic acid, a phthalic anhydride, a phthalate compound and a phthalic acid derivative; a chelating agent; a poly(acrylic acid-co-maleic acid); and an oxidizing agent; wherein the chemical mechanical polishing composition facilitates a high GST removal rate with low defectivity. | 11-01-2012 |
20120276820 | Method for Adjusting Metal Polishing Rate and Reducing Defects Arisen in a Polishing Process - The invention discloses a method for adjusting metal polishing rate and reducing defects arisen in a polishing process, in which a electric conduction system is additionally provided to a polishing apparatus to electrify the polishing fluid; in the polishing process, the polishing fluid flows through the polishing pad and the wafer to be polished, such that the polished metal surface of the wafer is electrically charged so as to control the oxidation of the polished metal surface of the wafer. The invention has solved the problem that the dishing and erosion defects are prone to be formed in the existing polishing process, the potential of the polishing fluid is changed by means of the additional electric conduction system and thus the polishing rate of the polished metal is controlled so as to reduce the dishing and erosion defects occurred in the polishing process. | 11-01-2012 |
20120289126 | SAPPHIRE SUBSTRATES AND METHODS OF MAKING SAME - A sapphire substrate includes a generally planar surface having a crystallographic orientation selected from the group consisting of a-plane, r-plane, m-plane, and c-plane orientations, and having a nTTV of not greater than about 0.037 μm/cm | 11-15-2012 |
20120309269 | LOW-TEMPERATURE METHODS FOR SPONTANEOUS MATERIAL SPALLING - Method to (i) introduce additional control into a material spalling process, thus improving both the crack initiation and propagation, and (ii) increase the range of selectable spalling depths are provided. In one embodiment, the method includes providing a stressor layer on a surface of a base substrate at a first temperature which is room temperature. Next, the base substrate including the stressor layer is brought to a second temperature which is less than room temperature. The base substrate is spalled at the second temperature to form a spalled material layer. Thereafter, the spalled material layer is returned to room temperature, i.e., the first temperature. | 12-06-2012 |
20120309270 | POLISHING PAD - An object of the invention is to provide a polishing pad which has a polishing layer with a phase-separated structure and can provide high polishing rate and high planarization property and with which scratching can be suppressed. The polishing pad comprises the polishing layer. The polishing layer comprises a product of curing reaction of a polyurethane-forming raw material composition containing: (A) an isocyanate-terminated prepolymer obtained by reaction of a prepolymer-forming raw material composition (a) containing an isocyanate component and a polyester-based polyol; (B) an isocyanate-terminated prepolymer obtained by reaction of a prepolymer-forming raw material composition (b) containing an isocyanate component and a polyether-based polyol; and a chain extender, wherein the product of curing reaction has a phase-separated structure. | 12-06-2012 |
20130005221 | METHOD OF POLISHING A WORKPIECE WITH AN ABRASIVE SEGMENT COMPRISING ABRASIVE AGGREGATES HAVING SILICON CARBIDE PARTICLES - A method of polishing a workpiece can include placing a workpiece on a support structure. In an embodiment, the method can also include contacting the workpiece with an abrasive segment. The abrasive segment can include a plurality of abrasive aggregates that include silicon carbide particles bound together in a binder material. Additionally, the method can include moving the abrasive segment and the workpiece relative to each other. | 01-03-2013 |
20130012104 | MANUFACTURING METHOD OF A GLASS SUBSTRATE FOR A MAGNETIC DISK - The present invention has an object to remove effectively metallic contaminants adhering to the glass substrate surfaces without increasing roughness of the glass substrate surfaces in the glass substrate for a magnetic disk. In a manufacturing method of a glass substrate for a magnetic disk having a cleaning step of the glass substrate, cleaning step having a treatment of contacting the glass substrate with a cleaning liquid containing oxalate and divalent iron ions and having a pH of not less than 2 and not more than 4. The divalent iron ions are added by adding ammonium iron (II) sulfate, iron (II) sulfate and iron oxalate (II) to oxalic acid. | 01-10-2013 |
20130012105 | POLISHING PAD AND PRODUCTION METHOD THEREFOR, AND PRODUCTION METHOD FOR SEMICONDUCTOR DEVICE - A polishing pad, having a polishing layer comprising a thermoset polyurethane foam, wherein the polishing layer has an in-plane variation of 12 or less in microrubber A hardness, the variation being obtained by measuring the polishing layer from a polishing surface side of the layer, the thermoset polyurethane foam contains, as raw material components, an isocyanate component and active-hydrogen-containing compounds, and the active-hydrogen-containing compounds comprise a trifunctional polyol having at least one terminated hydroxyl group that is a secondary hydroxyl group, and having a hydroxyl group value of 150 to 1,000 mg KOH/g in an amount of 10 to 50 parts by weight for 100 parts by weight of the active-hydrogen-containing compounds. | 01-10-2013 |
20130012106 | POLISHING PAD - An object of the present invention is to provide a polishing pad having high planarization property and capable of making it possible to suppress the occurrence of scratches. A polishing pad of the present invention has a polishing layer having oval cells each with a long axis inclined by 5° to 45° with respect to the direction of the thickness of the polishing layer. | 01-10-2013 |
20130012107 | LAMINATE POLISHING PAD - An object of the invention is to provide a laminate polishing pad having a polishing layer and a cushion layer, which resist peeling. A laminate polishing pad including: a polishing layer with no region passing therethrough; an adhesive member; and a cushion layer placed on the polishing layer with the adhesive member interposed therebetween, wherein the back side of the polishing layer has at least one non-adhering region X continuously extending from a central region of the polishing layer to a peripheral end of the polishing layer, and/or the adhesive member has at least one non-adhering region Y continuously extending from a central region of the adhesive member to a peripheral end of the adhesive member. | 01-10-2013 |
20130023188 | Apparatus for Wafer Grinding - A grinding wheel comprises an outer base with a first attached grain pad; and an inner frame with a second attached grain pad; and a spindle axis shared by the outer base and the inner frame, wherein at least one of the outer base and the inner frame can move independently along the shared spindle axis; and wherein the outer base, the inner frame, and the shared spindle axis all have a same center. A grinding system comprises an above said grinding wheel, and a wheel head attached to the shared spindle axis, capable of moving vertically, in addition to a motor driving the grinding wheel to spin; and a chuck table for fixing a wafer on top of the chuck table; wherein the grinding wheel overlaps a portion of the chuck table, each capable of spinning to the opposite direction of another. | 01-24-2013 |
20130035021 | POLISHING PAD, MANUFACTURING METHOD THEREFOR, AND METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE - A polishing pad having a polishing layer comprising a thermoset polyurethane foam, wherein the thermoset polyurethane foam contains, as raw material components, an isocyanate component, and active-hydrogen-containing compounds, and the active-hydrogen-containing compounds comprise one or more polyol compounds (each) having two or more functional groups, and a monool compound having one functional group. | 02-07-2013 |
20130045663 | METHOD AND APPARATUS FOR OPTICALLY MEASURING BY INTERFEROMETRY THE THICKNESS OF AN OBJECT - Methods and apparatuses are used for optically measuring by interferometry the thickness (T) of an object ( | 02-21-2013 |
20130072091 | METHOD FOR THE DOUBLE-SIDE POLISHING OF A SEMICONDUCTOR WAFER - A method of simultaneous double-side polishing of a front side and a rear side of at least one wafer composed of semiconductor material includes disposing each wafer in a respective suitably dimensioned cutout in a carrier plate. The at least one wafer is polished on the front side and on the rear side between an upper polishing plate covered with a first polishing pad and a lower polishing plate covered with a second polishing pad while supplying a polishing agent. A respective surface of each of the first and second polishing pads is interrupted by at least one respective channel-shaped depression running spirally from a center to an edge of the respective pad. | 03-21-2013 |
20130078891 | DEVICE FOR CUTTING OF GLASS SHEET - Disclosed herein is a device for cutting a glass sheet, continuously supplied after a melting and solidification process, into quadrangular glass substrates. The glass sheet cutting device includes two or more cutters for cutting a glass sheet into quadrangular glass substrates, a defect inspector for scanning the glass sheet to three-dimensionally check defect positions in a length direction, a width direction and a thickness direction of the glass sheet, a position adjuster for moving at least one of the cutters to a portion of the glass sheet at which few defects are distributed, and a controller for informing the position adjuster of positions of the cutters based on the scanned results received from the defect inspector. | 03-28-2013 |
20130078892 | POLISHING PAD, PRODUCTION METHOD FOR SAME, AND PRODUCTION METHOD FOR GLASS SUBSTRATE - A polishing pad having a polishing layer comprising a thermoset polyurethane foam,
| 03-28-2013 |
20130102228 | GLASS PLATE, GLASS PLATE POLISHING METHOD, METHOD OF PRODUCING THE SAME, AND APPARATUS FOR PRODUCING THE SAME - A disclosed glass plate is formed by converging flow streams of molten glass, which have a same composition and are caused to flow downward along left and right surfaces respectively of a forming body, in a vicinity of a root of the forming body, wherein neither front nor back surface of the glass plate is polished, wherein a convergent plane between the flow streams deviates to one side from a center plane lying at a center between the front surface of the glass plate and the back surface of the glass plate. | 04-25-2013 |
20130102229 | Process for Producing Glass Substrate for Information Recording Medium - According to the process for producing a glass substrate for an information-recording medium of the present invention, a glass plate | 04-25-2013 |
20130102230 | Method of Manufacturing Glass Substrate for Information Recording Medium, and Suction Instrument - An object of the invention is to provide a method of manufacturing a glass substrate for an information recording medium, which suppresses an increase in the number of foreign matter adhering to the surface of the glass substrate or damages on the surface of the glass substrate in suckingly holding the glass substrate by a suctorial holding portion, and to provide a suction instrument which makes it easy to dismount a glass substrate from a polishing pad of a polishing device. A suction instrument | 04-25-2013 |
20130109280 | METHODS AND SYSTEMS FOR MARRING FIBER OPTIC SUBSTRATES | 05-02-2013 |
20130115860 | Linear, automated apparatus and method for clean, high purity, simultaneous lapping and polishing of optics, semiconductors and optoelectronic materials - A linear, automated apparatus and method for clean, cost-effective, simultaneous lapping and polishing of optics, semiconductors and optoelectronic materials is presented, constructed principally from corrosion resistant stainless steel or nickel, enabling utilization of high purity water based abrasive slurry. The circular stainless steel or nickel lapping plate of the apparatus supports a synthetic nylon or rayon pad, whereby material is abraded from the workpiece primarily through the reciprocal, back and forth, linear movement of the workpiece holder, diametrically across the circular lapping plate, with intermittent rotation in step increments of the workpiece holder and affixed workpiece, tracing arcs of 180 degrees, first in a clockwise and subsequently counterclockwise direction. Intermittent rotation of the circular lapping plate for the sole purpose of ensuring uniform wear on the circular nylon or rayon pad and lapping plate, eliminates the need for periodic, time consuming conditioning or reflattening of the lapping plate. | 05-09-2013 |
20130130596 | SYNTHETIC QUARTZ GLASS SUBSTRATE POLISHING SLURRY AND MANUFACTURE OF SYNTHETIC QUARTZ GLASS SUBSTRATE USING THE SAME - In polishing of synthetic quartz glass substrates, a polishing slurry is used comprising (i) an oligopeptide comprising recurring units of pentapeptide: -[valine-proline-glycine-valine-glycine]- and having a molecular weight of 800-150,000 or a copolymer of the pentapeptide with another monomer, and (ii) a colloidal solution. | 05-23-2013 |
20130137344 | ABRASIVE FILAMENTS WITH IMPROVED STIFFNESS AND INDUSTRIAL BRUSHES COMPRISING THE SAME AND USES THEREOF - Disclosed herein are abrasive filaments with improved stiffness and industrial brushes comprising the same, wherein the abrasive filaments are formed of polyamide compositions comprising, (a) at least one polyamide; (b) about 0.1-1 wt % of at least one linear chain extending compound that has a molecular weight of 1000 Daltons or lower; (c) about 0.1-1 wt % of at least one antioxidant; and (d) about 10-40 wt % of abrasive particles, with the total wt % of all components in the composition totaling to 100 wt %. | 05-30-2013 |
20130157543 | Polishing Pad and Method For Polishing A Semiconductor Wafer - A semiconductor wafer is polished, wherein in a first step, the rear side of the wafer is polished by a polishing pad comprising fixedly bonded abrasives having a grain size of 0.1-1.0 μm, while supplying a polishing agent free of solid materials having a pH of at least 11.8, and, in a second step, the front side of the semiconductor wafer is polished, wherein a polishing agent having a pH of less than 11.8 is supplied. A polishing pad for use in apparatuses for polishing semiconductor wafers, has a layer containing abrasives, a layer composed of a stiff plastic and also a compliant, non-woven layer, wherein the layers are bonded to one another by means of pressure-sensitive adhesive layers. | 06-20-2013 |
20130165021 | PRECISION SHARPENER FOR CERAMIC KNIFE BLADES - An electrically powered knife sharpener for ceramic (or other brittle, crystalline or amorphous media which could be used for blades) knives includes at least one pre-sharpening stage with a sharpening member(s) and includes a final stage with a sharpening member(s). There are one or more motor driven shafts on which the abrasive surfaced sharpening members, such as disks, are mounted. Guide structure is provided to guide the knife for aligning and positioning the knife facet precisely at a defined location on the abrasive surface of each rotating sharpening member. The pre-sharpening stage sharpening member(s) moves in a first direction. The final stage sharpening member(s) moves in a second direction which differs from the first direction. | 06-27-2013 |
20130189904 | METHOD FOR POLISHING A SEMICONDUCTOR WAFER - A method of polishing a semiconductor wafer using a holding system including a lined cutout the size of the semiconductor wafer that is fixed to a carrier. The method includes holding the semiconductor wafer in the cutout through adhesion of a first side of the semiconductor wafer to a bearing surface in the cutout and polishing a second side of the held semiconductor wafer using a polishing pad that is fixed on a polishing plate while introducing a polishing agent between the second side of the semiconductor wafer and the polishing pad, the polishing pad including fixedly bonded abrasive materials. The carrier is guided during polishing such that a portion of the second side of the semiconductor wafer temporarily projects beyond a lateral edge of a surface of the polishing pad. | 07-25-2013 |
20130203324 | MANUFACTURE OF SYNTHETIC QUARTZ GLASS SUBSTRATE - A rough surface of a starting synthetic quartz glass substrate is polished to a mirror finish, using a polishing slurry containing tetragonal or cubic zirconia. | 08-08-2013 |
20130210321 | MODULAR GRINDING APPARATUSES AND METHODS FOR WAFER THINNING - Methods of thinning a plurality of semiconductor wafers and apparatuses for carrying out the same are disclosed. A grinding module within a set of grinding modules receives and grinds a semiconductor wafer. A polishing module receives the semiconductor wafer from the grinding module and polishes the wafer. The polishing module is configured to polish the semiconductor wafer in less time than the grinding module is configured to grind the corresponding wafer. | 08-15-2013 |
20130244544 | PROCESS CONTROL METHODS FOR CMP (CHEMICAL MECHANICAL POLISHING) AND OTHER POLISHING METHODS USED TO FORM SEMICONDUCTOR DEVICES - A method for controlling device feature sizes produced by polishing operations such as chemical mechanical polishing (CMP) is provided. The method includes instituting process controls to control the processing operations used in combination to produce features of a metal layer with a desired thickness, based on the thickness of the previous metal layer or layers. A target thickness for first and second metal layers is established. After the first metal layer is produced and the difference between the first metal target thickness and the actual first metal thickness is determined, the target thickness for the second metal features is adjusted. Once the target thickness for the second metal features is adjusted, each of the processing operations used to produce the second metal layer is controlled in combination to produce the second metal features with the adjusted target thickness. | 09-19-2013 |
20130244545 | POLISHING PAD AND METHOD FOR PRODUCING SAME - A polishing pad has a polishing layer including a non-foamed polyurethane, wherein the non-foamed polyurethane is a reaction cured body of a polyurethane raw material composition containing an isocyanate-terminated prepolymer obtained by reacting a prepolymer raw material composition containing a diisocyanate, a high-molecular-weight polyol and a low-molecular-weight polyol; an isocyanate modified body polymerized by adding three or more diisocyanates; and a chain extender, and the addition amount of the isocyanate-modified body is 5 to 30 parts by weight with respect to 100 parts by weight of the isocyanate-terminated prepolymer. The polishing pad hardly causes scratches on the surface of an object to be polished and has an improved dressing property. | 09-19-2013 |
20130252514 | METHOD FOR CREATING A COMPLEX SURFACE ON A SUBSTRATE OF GLASS - A method for creating a concave section of glass from a glass substrate having flat surfaces includes the use of a grinding wheel and a turntable. The method includes the steps of securing the glass substrates to the turntable. The turntable is then spun to create a turntable axis of rotation. The grinding wheel is rotated about a wheel axis of rotation such that the wheel axis of rotation is perpendicular to the turntable axis of rotation. The grinding wheel and the turntable move relative to each other along the turntable axis of rotation. The glass substrate is ground by the grinding wheel contacting the glass substrate to create the concave section of glass while the glass substrate is rotating about the wheel axis of rotation and moving relative to the turntable. | 09-26-2013 |
20130252515 | METHOD OF POLISHING THE DIAMOND-SURFACE - A method of polishing the diamond-surfaces generates abraded powder less, enables the polishing member to maintain an extended life and to be easily controlled, makes it possible to obtain the surfaces of a high degree of smoothness, and can be easily applied to polishing rugged three-dimensional surfaces, too. A method of polishing the diamond-surface by using a polishing member that has a metal-surface that easily reacts with carbon or of a carburizing metal, irradiating the diamond-surface with a laser beam prior to polishing the diamond-surface with the polishing member, following the irradiation with the laser beam, the polishing is conducted by rubbing a laser beam-irradiated portion with the polishing member. | 09-26-2013 |
20130288575 | Method for Manufacturing Glass Substrate for Magnetic Recording Medium - A method for manufacturing a glass substrate for a magnetic recording medium, including a polishing step of polishing a principal surface ( | 10-31-2013 |
20140030958 | SINGLE GROOVED POLISHING PAD - A polishing pad, an apparatus for chemical mechanical polishing of semiconductor wafers and a method of making a device using the same are presented. The apparatus includes a first platform for mounting a semiconductor wafer; a second platform for mounting a polishing pad; a rotator for rotating the wafer against the polishing pad; and a diamond dresser for dressing the polishing pad. The polishing pad has a single groove of a width (w) surrounding the periphery of an undressed portion of the polishing pad thus eliminating contact of the undressed portion with the outer edge of the diamond dresser. | 01-30-2014 |
20140038493 | TANK AND METHOD FOR PRODUCING POLISHING PAD USING TANK - It is an object of the invention to provide a tank for use in making high-quality, air void-less, polishing pads, to provide a method for producing a polishing pad using the tank, and to provide a polishing pad obtained by such a production method. A tank for holding a resin composition, the tank comprising two or more body components and joint parts, wherein the two or more body components are joined by the joint parts to form a frame-shaped body, at least one of the joint parts includes an opening and closing member that joins adjacent ones of the body components in such a way that the adjacent body components can swing open, and at least another one of the joint parts includes a joint member that joins adjacent ones of the body components in such a way that the adjacent body components can swing about the joint member. | 02-06-2014 |
20140045411 | METHODS OF AND APPARATUS FOR PRODUCING WAFERS - An aspect of the present invention pertains to a method of fabricating wafers. One embodiment comprises a method of processing a substrate having defects into a wafer. The method comprises grinding the substrate to flatness while supporting the substrate in a grinding apparatus so that there is minimum or substantially zero stress on the substrate. Another aspect of the present invention comprises a substrate holder for holding a substrate as part of grinding processes to produce a flat surface on the substrate. | 02-13-2014 |
20140051335 | ABRASIVE AND POLISHING COMPOSITION - Provided is a polishing composition containing an abrasive and water. The abrasive content in the polishing composition is no less than 0.1% by mass. The abrasive contains zirconium oxide particles. The zirconium oxide particles have a specific surface area of from 1 to 15 m | 02-20-2014 |
20140057531 | Method for grinding wafers by shaping resilient chuck covering - This method facilitates and improves the production of quality thin wafers by adhering traditional resilient back-grind tape that has been perforated over the area that will contact the wafer on the ceramic porous grind chuck, so as to allow vacuum to be applied to the wafer back surface to hold the wafer for processing. The tape adhering to the chuck is ground with a abrasive grind wheel, bringing the surface of the tape parallel to the chuck surface which was previously ground by the same grinding device. Grinding the tape while it is mounted on the chuck establishes the plane perpendicular to the grind wheel spindle, removes the bumpiness from the perforation holes and evens out the non-uniformity of the tape, resulting in improved wafer back side grinding and thus good site flatness. | 02-27-2014 |
20140057532 | METHODS OF POLISHING SAPPHIRE SURFACES - Described herein are methods for polishing sapphire surfaces using compositions comprising colloidal silica, wherein the colloidal silica has a broad particle size distribution. | 02-27-2014 |
20140057533 | METHODS OF POLISHING SAPPHIRE SURFACES - Described herein are methods for polishing sapphire surfaces using compositions comprising colloidal silica, wherein the colloidal silica has a broad particle size distribution. | 02-27-2014 |
20140057534 | RESIN BONDED GRINDING WHEEL - A resin bonded grinding wheel suitable for grinding polycrystalline diamond compacts is disclosed. The resin bonded grinding wheel uses a high concentration of diamonds based on a volume percent along with a mixture of hard and soft filler particles to effectively grind the polycrystalline diamond compacts while eliminating or reducing the need to continuously dress the outer surface of the resin bonded grinding wheel. | 02-27-2014 |
20140073226 | POLYPYRROLIDONE POLISHING COMPOSITION AND METHOD - The invention provides a polishing composition containing a pyrrolidone polymer, an aminophosphonic acid, a tetraalkylammonium salt, and water, wherein the composition has a pH of about 7 to about 11.7. The invention further provides a method of using such a polishing composition to polish a substrate, especially a substrate containing silicon. | 03-13-2014 |
20140087636 | GRINDING METHOD OF HONEYCOMB STRUCTURE - There is disclosed a grinding method of a honeycomb structure, wherein a grind processing member having an outer peripheral surface, a first grinding wheel tapered surface and a second grinding wheel tapered surface and rotating around a central axis grinds a joined honeycomb segment assembly rotating around a central axis, and the above respective surfaces of the grind processing member form a first ground region, a second ground region, a center ground surface, a first tapered surface and a second tapered surface, to prepare the honeycomb structure including a cylindrical honeycomb base material, and a ring-like bulge portion “which surrounds an outer periphery of the honeycomb base material, protrudes from the outer periphery of the honeycomb base material toward the outside in a flange manner, and has the first tapered surface, the second tapered surface and the center ground surface.” | 03-27-2014 |
20140094094 | Modified Microgrinding Process - A method of forming a substrate is performed by grinding a substrate using abrasives so that both major surfaces of the substrate achieve desired flatness, smoothness, or both. In an embodiment, a coarser abrasive is used to grind one major surface, while a finer abrasive is simultaneously used to grind the other major surface. A single grinding step can used to produce a substrate having opposing surfaces of different surface roughnesses. This may help to eliminate a typical second downstream fine polishing step used in the prior art. Embodiments can be used with a wide variety of substrates, including sapphire, silicon carbide and gallium nitride single crystal structures grown by various techniques. | 04-03-2014 |
20140120805 | BELLOWS DRIVEN FLOATATION-TYPE ABRADING WORKHOLDER - Flat-surfaced workpieces such as semiconductor wafers are attached to a rotatable floating workpiece holder carrier rotor that is supported by and rotationally driven by a bellows. The rotating wafer carrier rotor is restrained by a set of idlers that are attached to a stationary housing to provide rigid support against abrading forces. The idlers allow low-friction operation of the abrading system to be provided at the very high abrading speeds used in high speed flat lapping with raised-island abrasive disks. The carrier rotor is also restrained by a rigid rotating housing to allow a limited lateral motion and also a limited angular motion. Air pressure within a sealed bellows chamber provides controlled abrading pressure for wafers or other workpieces. Vacuum can also be applied to the bellows chamber to quickly move the wafer away from the abrading surface. Wafers can be quickly attached to the workpiece carrier with vacuum. | 05-01-2014 |
20140120806 | SPIDER ARM DRIVEN FLEXIBLE CHAMBER ABRADING WORKHOLDER - Flat-surfaced workpieces such as semiconductor wafers or sapphire disks are attached to a rotatable floating workpiece holder carrier that is supported by a pressurized-air flexible elastomer sealed air-chamber device and is rotationally driven by a circular flexible-arm device. The rotating wafer carrier rotor is restrained by a set of idlers that are attached to a stationary housing to provide rigid support against abrading forces. The abrading system can be operated at the very high abrading speeds used in high speed flat lapping with raised-island abrasive disks. The range of abrading pressures is large and the device can provide a wide range of torque to rotate the workholder. Vacuum can also be applied to the elastomer chamber to quickly move the wafer away from the abrading surface. Internal constraints limit the axial and lateral motion of the workholder. Wafers can be quickly attached to the workpiece carrier with vacuum. | 05-01-2014 |
20140127976 | PIN DRIVEN FLEXIBLE CHAMBER ABRADING WORKHOLDER - Flat-surfaced workpieces such as semiconductor wafers or sapphire disks are attached to a rotatable floating workpiece holder carrier that is supported by a pressurized-air flexible elastomer sealed air-chamber device and is rotationally driven by a lug-pin device. The rotating wafer carrier rotor is restrained by a set of idlers that are attached to a stationary housing to provide rigid support against abrading forces. The abrading system can be operated at the very high abrading speeds used in high speed flat lapping with raised-island abrasive disks. The range of abrading pressures is large and the device can provide a wide range of torque to rotate the workholder. Vacuum can also be applied to the elastomer chamber to quickly move the wafer away from the abrading surface. Internal constraints limit the axial, lateral and circumferential motion of the workholder. Wafers can be quickly attached to the workpiece carrier with vacuum. | 05-08-2014 |
20140134927 | METHODS AND SYSTEMS FOR USE IN GRIND SPINDLE ALIGNMENT - A grinding engine includes a work spindle; a work chuck cooperated with the work spindle; a grind spindle; a grind wheel cooperated with the grind spindle; and a plurality of alignment adjustment systems positioned relative to and around the grind spindle, wherein adjustment from any one of the alignment adjustment systems is configured to cause a change in alignment between the work spindle and the grind spindle. | 05-15-2014 |
20140134928 | HINGE MECHANISM FOR SMALL OPTICS AND RELATED METHODS - A hinge assembly for a deployable minor includes a base, a mirror segment base coupled to the base, a minor segment coupled to the minor segment base by two bearings, and at least one linear actuator secured to the base and capable of adjusting the mirror segment. Other embodiments of the hinge assembly are further disclosed. | 05-15-2014 |
20140162534 | POLISHING SYSTEM AND POLISHING METHOD - A polishing system for polishing a semiconductor wafer includes a wafer support for holding the semiconductor wafer, and a first polishing pad for polishing a region of the semiconductor wafer. The semiconductor wafer has a first diameter, and the first polishing pad has a second diameter shorter than the first diameter. | 06-12-2014 |
20140170938 | FLEXIBLE DIAPHRAGM COMBINATION FLOATING AND RIGID ABRADING WORKHOLDER - Flat-surfaced workpieces such as semiconductor wafers or sapphire disks are attached to a rotatable floating or rigid workpiece holder carrier that is supported by a pressurized-air flexible elastomer disk sealed air-chamber device and is rotationally driven by a flexible arm or a lug-pin device. The rotating wafer carrier rotor is horizontally restrained by a set of idlers that are attached to a stationary housing to provide rigid support against abrading forces. The abrading system can be operated at the very high abrading speeds used in high speed flat lapping with raised-island abrasive disks. A wide range of abrading pressures are applied uniformly across the surface of the workpiece. Vacuum can also be applied to the elastomer chamber to quickly move the wafer away from the abrading surface. Internal constraints limit the axial, lateral and circumferential motion of the workholder. Wafers can be quickly attached to the workpiece carrier with vacuum. | 06-19-2014 |
20140206261 | METHOD FOR POLISHING A SEMICONDUCTOR WAFER - A method for polishing at least one wafer composed of semiconductor material that has a front side and the rear side includes performing at least one first polishing step including simultaneously polishing both front and rear sides of the at least one wafer at a process temperature between an upper polishing plate and a lower polishing plate. Each of the upper polishing and lower polishing plates is covered with a polishing pad having an inner edge and an outer edge, a hardness of at least 80° Shore A, a compressibility of less than 2.5%, and respective upper and lower surfaces that come into contact with the wafer being polished. The upper and lower surfaces form a polishing gap extending from the inner edge to the outer edge. A height of the polishing gap at the inner edge differs linearly from the height of the polishing gap at the outer edge. | 07-24-2014 |
20140213151 | POLISHING PAD - An object of the invention is to provide a polishing pad that is prevented from slurry leaks and has high optical detection accuracy. The present invention relates to a polishing pad comprising a polishing region, a cushion layer, and a support film layered in this order, wherein a light-transmitting region is provided on the support film and in an opening part that passes through the polishing region and the cushion layer; the light-transmitting region has a peripheral part and a recessed part on the surface of a polishing platen-side; the support film is layered on the peripheral part; and the support film is not layered on the recessed part, which remains open. | 07-31-2014 |
20140220866 | METHOD OF POLISHING BACK SURFACE OF SUBSTRATE AND SUBSTRATE PROCESSING APPARATUS - A polishing method which can remove foreign matters from an entire back surface of a substrate at a high removal rate is provided. The polishing method includes placing a polishing tool in sliding contact with an outer circumferential region of a back surface of a substrate while holding a center-side region of the back surface of the substrate, and placing a polishing tool in sliding contact with the center-side region of the back surface of the substrate while holding a bevel portion of the substrate to polish the back surface in its entirety. | 08-07-2014 |
20140220867 | Concrete Cutting, Polishing and Coloring Treatment Solutions and Methods - Systems and methods for treating concrete, which includes the steps of wetting a surface of concrete with a amorphous colloidal silica, allowing time for the colloidal silica to penetrate the concrete surface, and cutting the surface of the concrete with a bladed tool wherein the longitudinal blade portion is positioned approximately at an angle between 30 degrees and 90 degrees relative to the surface of the concrete. | 08-07-2014 |
20140256230 | Multilayer Chemical Mechanical Polishing Pad - A multilayer chemical mechanical polishing pad is provided, having: a polishing layer having a polishing surface, a counterbore opening, a polishing layer interfacial region parallel to the polishing surface; a porous subpad layer having a bottom surface and a porous subpad layer interfacial region parallel to the bottom surface; and, a broad spectrum, endpoint detection window block; wherein the polishing layer interfacial region and the porous subpad layer interfacial region form a coextensive region; wherein the multilayer chemical mechanical polishing pad has a through opening that extends from the polishing surface to the bottom surface of the porous subpad layer; wherein the counterbore opening opens on the polishing surface, enlarges the through opening and forms a ledge; and, wherein the broad spectrum, endpoint detection window block is disposed within the counterbore opening. | 09-11-2014 |
20140256231 | Multilayer Chemical Mechanical Polishing Pad With Broad Spectrum, Endpoint Detection Window - A multilayer chemical mechanical polishing pad is provided, having: a polishing layer having a polishing surface, a counterbore opening, a polishing layer interfacial region parallel to the polishing surface; a porous subpad layer having a bottom surface and a porous subpad layer interfacial region parallel to the bottom surface; and, a broad spectrum, endpoint detection window block comprising a cyclic olefin addition polymer; wherein the window block exhibits a uniform chemical composition across its thickness; wherein the polishing layer interfacial region and the porous subpad layer interfacial region form a coextensive region; wherein the multilayer chemical mechanical polishing pad has a through opening that extends from the polishing surface to the bottom surface of the porous subpad layer; wherein the counterbore opening opens on the polishing surface, enlarges the through opening and forms a ledge; and, wherein the window block is disposed within the counterbore opening. | 09-11-2014 |
20140256232 | Broad Spectrum, Endpoint Detection Window Multilayer Chemical Mechanical Polishing Pad - A multilayer chemical mechanical polishing pad is provided, having: a polishing layer having a polishing surface, a counterbore opening, a polishing layer interfacial region parallel to the polishing surface; a porous subpad layer having a bottom surface and a porous subpad layer interfacial region parallel to the bottom surface; and, a broad spectrum, endpoint detection window block comprising, comprises an olefin copolymer; wherein the window block exhibits a uniform chemical composition across its thickness; wherein the polishing layer interfacial region and the porous subpad layer interfacial region form a coextensive region; wherein the multilayer chemical mechanical polishing pad has a through opening that extends from the polishing surface to the bottom surface of the porous subpad layer; wherein the counterbore opening opens on the polishing surface, enlarges the through opening and forms a ledge; and, wherein the window block is disposed within the counterbore opening. | 09-11-2014 |
20140273760 | Double-Sided Polishing of Hard Substrate Materials - Disclosed is a method and apparatus for simultaneously polishing both surfaces of an optical substrate. An upper platen and a lower platen, each covered with a polishing pad material and at least one carrier having an aperture for holding the optical substrate between the platens are provided. The location of the aperture of the carrier is set such that the center of the optical substrate is offset from the center of the carrier and at least a portion of the outer perimeter of the optical substrate extends outwardly beyond at least a portion of at least one of the outer perimeter and the inner perimeter of the platens. The platens are rotated with respect to the carrier, and the carrier is rotated with respect to the platens to polish the optical substrate. The location of the aperture of the carrier is adjustable. | 09-18-2014 |
20140287656 | METHOD FOR POLISHING A SEMICONDUCTOR MATERIAL WAFER - A method for polishing at least one semiconductor wafer while supplying a polishing agent includes performing a first simultaneous double-side polishing of the front side and the back side of the at least one semiconductor wafer with first upper and lower polishing pads, edge-notch polishing the surface of the at least one semiconductor wafer, performing a second simultaneous double-side polishing of the front side and the back side of the at least on semiconductor wafer with second upper and lower polishing pads, where the upper and lower polishing pads for the first simultaneous double-side polishing are harder and less compressible than the upper and lower polishing pads for the second simultaneous double-side polishing and performing single-side polishing of the front side of the at least one semiconductor wafer. | 09-25-2014 |
20140287657 | CMP RETAINING RING WITH SOFT RETAINING RING INSERT - A method of polishing a wafer with a wafer carrier adapted to further reduce the edge effect and allow a wafer to be uniformly polished across its entire surface, with a retaining ring made from very hard materials such as PEEK, PET or polycarbonate with a hardness in the range of 80 to 85 Shore D, while the inner surface or insert is made of polyurethane or other material with a hardness in the range of 85 to 95 Shore A. | 09-25-2014 |
20140295738 | COLLOIDAL SILICA POLISHING COMPOSITION AND METHOD FOR MANUFACTURING SYNTHETIC QUARTZ GLASS SUBSTRATES USING THE SAME - A polishing composition comprising a colloidal dispersion of spherical silica particles and associated silica particles as abrasive is provided. When used in the step of polishing synthetic quartz glass substrates, the polishing composition ensures a higher polishing rate than conventional colloidal silica and is effective for preventing any microscopic defects on the substrate surface, thus providing the substrate with a high smoothness. The polishing composition can be used as the ceria replacement polishing composition for polishing a lapped surface. | 10-02-2014 |
20140302752 | COMPOSITION FOR POLISHING PURPOSES, POLISHING METHOD USING SAME, AND METHOD FOR PRODUCING SUBSTRATE - Provided is a polishing composition, which comprises abrasive grains, a water-soluble polymer, an aggregation inhibitor and water. The ratio R1/R2 is 1.3 or less, where R1 represents the average particle diameter of the particles present in the polishing composition and R2 represents the average particle diameter of the abrasive grains when the abrasive grains are dispersed in water at the same concentration as that of the abrasive grains in the polishing composition. The polishing composition can be used mainly for polishing the surface of a silicon substrate. | 10-09-2014 |
20140308878 | METHOD FOR POLISHING SEMICONDUCTOR WAFERS BY MEANS OF SIMULTANEOUS DOUBLE-SIDE POLISHING - A method of polishing a semiconductor wafer includes simultaneous double-side polishing the wafer in a gap of a polishing device between a lower polishing plate covered with a lower polishing pad and upper polishing plate covered with an upper polishing pad while supplying a polishing agent. A first of the upper and lower polishing pads is dressed using a dressing tool. The dressing tool is mounted in the gap so that it extends from the inner edge to the outer edge of the first polishing pad. The distance between the dressing tool and a second of the upper and lower polishing pads at the inner edge of the second polishing pad differs from a corresponding distance at the outer edge of the second polishing pad. After the dressing, the at least one semiconductor wafer in the gap is polished. | 10-16-2014 |
20140308879 | ADDITIVE FOR POLISHING AGENT, AND POLISHING METHOD - The present invention relates to an additive for a polishing agent, which is capable of suppressing a decrease in polishing characteristics of a polishing agent to be repeatedly used, particularly a removal rate, by adding the additive to the polishing agent as needed during repeated uses. The invention also relates to a polishing method using a polishing agent to be repeatedly used, which is capable of suppressing a decrease in polishing characteristics of the polishing agent, particularly a removal rate. | 10-16-2014 |
20140342641 | POLISHING PAD - An object of the present invention is to provide a polishing pad which hardly generates scratches on the surface of an object to be polished, and has improved dressability. Another object of the present invention is to provide a method for manufacturing a semiconductor device using the polishing pad. A polishing pad of the present invention includes a polishing layer made of a fine cell-containing polyurethane resin foam, wherein the polyurethane resin foam contains a polyurethane resin having an Asker D hardness of 20 to 60 degrees and an abrasion parameter, which is expressed by the following equation, of 1 to 3. | 11-20-2014 |
20140357161 | CENTER FLEX SINGLE SIDE POLISHING HEAD - A polishing head assembly for single side polishing of silicon wafers includes a polishing head and a cap. The polishing head includes a top surface and a bottom surface and defines a longitudinal axis extending therethrough. The cap is positioned coaxially with the polishing head and includes an upper surface and a lower surface. The upper surface is spaced from the bottom surface of the polishing head to form a chamber that allows the cap to deflect toward the polishing head. | 12-04-2014 |
20140357162 | Abrasive Agent For Substrates And Substrate Manufacturing Method - Provided is an abrasive agent for substrates that includes, as an abrasive material component in the abrasive agent, cerium oxide as the main component. The abrasive agent for substrates includes soluble silica and cerium oxide. The concentration ratio of the soluble silica, calculated as Si content, and the cerium oxide in the abrasive agent is 0.001:1 to 0.1:1. | 12-04-2014 |
20140364041 | APPARATUS AND METHOD FOR POLISHING WAFER - Provided is an apparatus for polishing a wafer. The apparatus for polishing a wafer include a surface plate, a polishing pad disposed on the surface plate, the polishing pad including a plurality of fixed polishing particles, a head part disposed on the polishing pad, a retainer mounted on an outer surface of the head part, and a dressing part mounted a lower end of the support part, the dressing part having a ring shape. | 12-11-2014 |
20140370786 | METHOD FOR THE DOUBLE-SIDE POLISHING OF A SEMICONDUCTOR WAFER - A method of simultaneous double-side polishing of at least one semiconductor material wafer includes disposing each wafer in a respective suitably dimensioned cutout in a carrier plate having a front and rear side. The at least one wafer is polished between an upper polishing plate covered with a first polishing pad and a lower polishing plate covered with a second polishing pad while supplying a polishing agent. The polishing agent is supplied on the front and rear side of the wafer through openings in the upper and lower polishing pads and the upper and lower polishing plates. Each polishing pad has an inner circular region and outer ring shaped region where the quantity of polishing agent emerging from openings in the working gap per unit time in the inner circular region of the polishing pad is different from the quantity that emerges from openings in the outer ring-shaped region. | 12-18-2014 |
20140370787 | VACUUM-GROOVED MEMBRANE ABRASIVE POLISHING WAFER WORKHOLDER - Hard-material, flat-surfaced workpieces such as semiconductor wafers or sapphire disks are attached with vacuum to the flexible elastomeric membrane of a wafer carrier that allows one surface of the workpiece to be in conformal abrading contact with a moving flat-surfaced abrasive. The elastomeric membrane external wafer attachment surface has a pattern of recessed vacuum grooves and vacuum is supplied to the grooves to firmly attach the rigid-material silicon wafer in flat-surfaced contact with the membrane. The attached wafer seals the vacuum grooves and also provides lateral stiffness to the center portion of the membrane. An outer annular extension of the flexible elastomer membrane maintains the wafer at its original membrane-centered location when abrading forces are applied to the rotating wafer. The rotating wafer peripheral edge does not contact a rigid retaining ring during a wafer polishing procedure. Fixed-abrasive disks or raised-island abrasive disks are attached to a rotatable flat-surfaced platen. | 12-18-2014 |
20140378031 | POLISHING PAD - The purpose of the present invention is to provide: a polishing pad which has improved dressing properties, while maintaining the hardness; or a polishing pad which does not easily make a scratch on the surface of an object to be polished, while having improved dressing properties. A polishing pad of the present invention is characterized by having a polishing layer that is formed of a polyurethane resin foam or an unfoamed polyurethane resin, and is also characterized in that the polyurethane resin foam or the unfoamed polyurethane resin contains, as starting material components, (A) an isocyanate component, (B) a polyol component and (C) an aromatic compound that has one hydroxyl group and/or an aromatic compound that has one amino group. | 12-25-2014 |
20140378032 | POLISHING PAD - The purpose of the present invention is to provide a laminated polishing pad that can be flatly bonded to a polishing platen even if it is large in size. This laminated polishing pad, comprising a polishing layer and a support layer with an adhesive member interposed therebetween, wherein the polishing layer contains 0.5 to 5% by weight of a hydrophilic substance; the support layer is a layer obtained by integrally molding a cushion layer and a resin film having a thermal dimensional change rate of 1.3 to 12.6%; the laminated polishing pad has a concavely warped form in the polishing layer side; and the laminated polishing pad has an average warp amount of 3 to 50 mm at the peripheral edge of the pad. | 12-25-2014 |
20150017883 | METHOD AND ASSEMBLY FOR GRINDING AND/OR CUTTING A SLIDER BAR ON A LAPPING CARRIER - One or more slider bars are attached to at least one component of a multi-component carrier device. The multi-component carrier device is attached to a lapping arm of a lapping machine and the one or more slider bars attached to the carrier device are lapped. The at least one component of the multi-component carrier device is attached to a grinding/cutting machine. At least one of a grinding operation or a cutting operation is performed on the one or more slider bars. | 01-15-2015 |
20150024661 | MECHANISMS FOR REMOVING DEBRIS FROM POLISHING PAD - Embodiments of mechanisms for performing a chemical mechanical polishing (CMP) process are provided. A method for performing a CMP process includes polishing a wafer by using a polishing pad. The method also includes applying a cleaning liquid jet on the polishing pad to condition the polishing pad. A CMP system is also provided. | 01-22-2015 |
20150024662 | FLEXIBLE DIAPHRAGM POST-TYPE FLOATING AND RIGID ABRADING WORKHOLDER - Hard-material, flat-surfaced workpieces such as semiconductor wafers or sapphire disks are quickly attached with vacuum to a rotatable floating workpiece carrier. Fluid pressure in a sealed chamber applies uniform abrading pressure over the full abraded surface of the workpieces. A flexible diaphragm is used to form the sealed chamber and the carrier is rotationally driven by a lug-pin device. The floating carrier is horizontally restrained by a center-post device that provides rigid lateral support against abrading forces. Tilting of the floating carrier is provided by a spherical bearing. The abrading system can be operated at the very high abrading speeds used in high speed flat lapping with raised-island abrasive disks. Vacuum can also be applied to the sealed chamber to quickly move the workpiece away from the abrading surface. A mode of providing rigid parallel-surface abrading of a workpiece can be activated by simply applying vacuum to the sealed chamber. | 01-22-2015 |
20150038056 | TEMPERATURE MODIFICATION FOR CHEMICAL MECHANICAL POLISHING - Among other things, one or more systems and techniques for increasing temperature for chemical mechanical polishing (CMP) are provided. For example, a liquid heater component is configured to supply heated liquid to a polishing pad upon which a semiconductor wafer is to be polished, resulting in a heated polishing pad having a heated polishing pad temperature. The increased temperature of the heated polishing pad increases oxidation of the semiconductor wafer, which improves a CMP removal rate of material from the semiconductor wafer due to a decreased oxidation timespan and a stabilization timespan for reaching a stable CMP removal rate during CMP. In this way, the semiconductor wafer is polished utilizing the heated polishing pad, such as by a tungsten CMP process. | 02-05-2015 |
20150038057 | SEMICONDUCTOR ELEMENT PRODUCING METHOD - A semiconductor element producing method is disclosed. In the method, a surface protective tape including a base layer and an adhesive layer (including an intermediate layer) is attached to the front surface of a wafer that has unevenness caused by a polyimide passivation. The wafer is placed on a stage, with the surface protective tape facing the stage. The surface protective tape is heated while being drawn to the stage to flatten the surface of the surface protective tape. A grinding process is performed on the rear surface of the wafer to reduce the thickness of the wafer. A rear surface element structure is formed on the rear surface of the wafer, and the wafer is diced into chips. | 02-05-2015 |
20150044947 | METHOD OF POLISHING A NEW OR A REFURBISHED ELECTROSTATIC CHUCK - Embodiments of the present invention provide a polishing ring assembly suitable for polishing an electrostatic chuck and method of using the same. In one embodiment, the polishing ring assembly has a retaining ring assembly and an electrostatic chuck fixture. The retaining ring assembly includes an inner diameter and a top surface, a plurality of outer drive rings wherein the plurality of outer drive rings are placed on the top surface of the ceramic retaining ring. The electrostatic chuck fixture includes an electrostatic chuck drive plate adjacent to the inner diameter of in the ceramic retaining ring. The electrostatic chuck drive plate has a lock to secure retaining ring assembly with the electrostatic chuck fixture without transferring the weight from one assembly over to the other through the locking mechanism. | 02-12-2015 |
20150044948 | METHOD FOR THE MULTI-STAGE GRINDING OF WORKPIECES, AND VACUUM TABLE, STORAGE CONTAINER, STRIPPING DEVICE AND PLANT FOR CARRYING OUT THE METHOD - The invention relates to a method for the multi-stage grinding of workpieces ( | 02-12-2015 |
20150050862 | POLISHING COMPOSITION AND METHOD USING SAID POLISHING COMPOSITION TO MANUFACTURE COMPOUND SEMICONDUCTOR SUBSTRATE - A polishing composition contains abrasive grains and water. 50% by mass or more of the abrasive grains consists of particles A having particle sizes between 40 nm and 80 nm inclusive, and 10% by mass or more of the abrasive grains consists of particles B having particle sizes between 150 nm and 300 nm inclusive. The polishing composition is used to polish a surface of a compound semiconductor substrate. | 02-19-2015 |
20150050863 | SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, SUBSTRATE HOLDING MECHANISM, AND SUBSTRATE HOLDING METHOD - An apparatus for processing a substrate is disclosed. The apparatus includes a polishing section configured to polish a substrate, a transfer mechanism configured to transfer the substrate, and a cleaning section configured to clean and dry the polished substrate. The cleaning section has plural cleaning lines for cleaning plural substrates. The plural cleaning lines have plural cleaning modules and plural transfer robots for transferring the substrates. | 02-19-2015 |
20150056892 | POLISHING PAD WITH POROUS INTERFACE AND SOLID CORE, AND RELATED APPARATUS AND METHODS - Disclosed is a polishing pad for chemical-mechanical polishing. The polishing pad has a porous interface and a substantially non-porous bulk core. Also disclosed are related apparatus and methods for using and preparing the polishing pad. | 02-26-2015 |
20150065012 | METHOD OF FINISHING A STONE SURFACE AND ABRASIVE ARTICLE - A structured abrasive article comprises a structured abrasive layer adhered to a major surface of a backing, the structured abrasive layer comprising shaped abrasive composites adhered to the major surface, the shaped abrasive composites comprising milled polycrystalline ceramic abrasive particles retained in a polymeric binder, wherein the milled polycrystalline ceramic abrasive particles have a median particle size D | 03-05-2015 |
20150065013 | CHEMICAL MECHANICAL POLISHING PAD - A chemical mechanical polishing pad is provided containing: a polishing layer; a rigid layer; and, a hot melt adhesive bonding the polishing layer to the rigid layer; wherein the polishing layer exhibits a specific gravity of greater than 0.6; a Shore D hardness of 60 to 90; an elongation to break of 100 to 300%; and, a unique combination of an initial hydrolytic stability and a sustained hydrolytic instability. | 03-05-2015 |
20150065014 | METHOD OF CHEMICAL MECHANICAL POLISHING A SUBSTRATE - A method of chemical mechanical polishing a substrate is provided, including: providing a substrate; providing a chemical mechanical polishing pad, comprising: a polishing layer having a composition and a polishing surface, wherein the composition of polishing layer is selected to exhibit an initial hydrolytic stability; coupled with a sustained hydrolytic instability; a rigid layer having a top surface and a bottom surface; a hot melt adhesive interposed between the base surface of the polishing layer and the top surface of the rigid layer; wherein the hot melt adhesive bonds the polishing layer to the rigid layer; a pressure sensitive platen adhesive layer having a stack side and a platen side; wherein the stack side of the pressure sensitive platen adhesive layer is adjacent to the bottom surface of the rigid layer; and, creating dynamic contact between the polishing surface and substrate to polish a surface of the substrate. | 03-05-2015 |
20150079879 | LAMINATED POLISHING PAD AND METHOD FOR PRODUCING SAME - A method for manufacturing a laminated polishing pad of the present invention, comprising the steps of: forming a polishing layer by providing a light transmitting region in an opening A of a polishing region; providing an adhesive member X on one side of the polishing layer, wherein the adhesive member X contains a hot-melt adhesive; providing a removable protective member on a part of the adhesive member X corresponding to the light transmitting region; bonding a support layer to the adhesive member X on which the removable protective member is provided; and removing a part of the support layer corresponding to the light transmitting region and also removing the removable protective member to form an opening B. | 03-19-2015 |
20150126095 | CHEMICAL MECHANICAL POLISHING APPARATUS AND POLISHING METHOD USING THE SAME - A chemical mechanical polishing apparatus includes a platen, a polishing head, a magnetizable polishing pad, and an electromagnetic component. The magnetizable polishing pad is disposed between the polishing head and the platen. The electromagnetic component is configured for fastening the magnetizable polishing pad on the platen. | 05-07-2015 |
20150140904 | SLURRY, POLISHING-SOLUTION SET, POLISHING SOLUTION, SUBSTRATE POLISHING METHOD, AND SUBSTRATE - A polishing liquid comprising an abrasive grain, an additive, and water, wherein the abrasive grain includes a hydroxide of a tetravalent metal element, produces absorbance of 1.00 or more and less than 1.50 for light having a wavelength of 400 nm in an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass %, and produces a liquid phase having a content of a non-volatile component of 300 ppm or more when centrifuging an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass % for 50 minutes at a centrifugal acceleration of 1.59×10 | 05-21-2015 |
20150147942 | METHOD OF DOUBLE-SIDE POLISHING WAFER - The present invention provides method of double-side polishing a wafer, including: simultaneously polishing both surfaces of the wafer by holding the wafer in a holding hole of a carrier, interposing the held wafer between upper and lower turn tables to which polishing pads are attached, rotating the carrier about an axis of the carrier and revolving the carrier; and repeating the polishing in a batch manner, wherein a direction in which the carrier is revolved is reversed every polishing batch while repeating the polishing in a batch manner. The method enables wafers with high flatness to be stably obtained while inhibiting reduction in productivity due to dressing. | 05-28-2015 |
20150290760 | POLISHING COMPOSITION - To provide a polishing composition which can polish a polishing object composed of a hard brittle material having the Vickers hardness of greater than 1,500 HV at a high polishing rate under a condition of a high polishing load (polishing pressure) of 150 g/cm | 10-15-2015 |
20150290761 | METHOD AND APPARATUS FOR APPLYING A UNIFORM TEXTURE TO A SUBSTANTIALLY VERTICAL SURFACE - A surfacing apparatus supported adjacent a substantially vertical surface of a structure for applying an even and uniform texture to the surface of the structure using a selectively adjustable force exerted on a texturing means to engage the surface of the structure. The surfacing apparatus is suited for horizontal and vertical movement in controlled prescribed paths under controlled and prescribed pressure from the adjustable tensioning means. | 10-15-2015 |
20150298286 | POLISHING PAD - The purpose of the present invention is to provide a polishing pad that hardly generates scratches on a surface of a subject to be polished. This polishing pad is characterized in that: the polishing pad is provided with a polishing layer having a polishing region and a light-transmitting region; the light-transmitting region includes a surface layer positioned on the pad surface side, and at least one soft layer laminated under the surface layer, and the soft layer has a hardness lower than that of the surface layer. | 10-22-2015 |
20150303049 | METHOD FOR PROCESSING SEMICONDUCTOR WAFER - In a wafer processing method by which, by using, as a reference surface, a flat surface obtained by applying a curable material to the whole of one surface of a wafer obtained by slicing a semiconductor single-crystal ingot by using a wire saw apparatus, surface grinding is performed on the other surface of the wafer and surface grinding is performed on the one surface of the wafer by using the other surface of the wafer subjected to surface grinding as a reference surface, both surfaces of the wafer are planarized at the same time immediately after the wafer is obtained by slicing. | 10-22-2015 |
20150306728 | SYSTEMS FOR, METHODS OF, AND APPARATUS FOR PROCESSING SUBSTRATE SURFACES - The following description pertains to processing substrates using processes such as, but not limited to, polishing or lapping. Descriptions of systems, methods, and apparatuses according to one or more embodiments of the present invention are presented. | 10-29-2015 |
20150318179 | PLANARIZATION DEVICE AND PLANARIZATION METHOD USING THE SAME - A planarization device and a planarization method using the same are provided. The planarization device comprises a platen, a grinding pad, an operation arm, a chuck and a shielding pad. The grinding pad is disposed on the platen. The operation arm has a lower surface. The chuck rotatably is disposed on the operation arm. The shielding pad is detachably disposed on the lower surface of the operation arm. | 11-05-2015 |
20150321311 | CARRIER FOR USE IN DOUBLE-SIDE POLISHING APPARATUS AND METHOD OF DOUBLE-SIDE POLISHING WAFER - A method provides a carrier used in a double-side polishing apparatus such that the carrier is disposed between upper and lower turn tables to which polishing pads are attached in the double-side polishing apparatus and holds a wafer interposed between the upper and lower turn tables in a holding hole formed in the carrier during polishing, including upper and lower main surface portions composed of a β-titanium alloy obtained from pure titanium containing 0.5 weight % or more of a β-stabilizing element. The carrier has a high abrasion resistance and can reduce its cost. | 11-12-2015 |
20150321312 | Modifying Substrate Thickness Profiles - Before a first surface of a substrate is polished using a chemical mechanical process, the substrate is transferred to a modification station. The substrate comprises a side wall connected with the first surface at an edge and a second surface opposite to the first surface and also connected to the side wall. The first surface is substantially flat. The side wall is substantially perpendicular to the first surface. The edge of the substrate is modified at the modification station by removing material from a region of the first surface. The side wall of the substrate is a boundary of the region. The modified edge comprises a modified first surface that tapers within the region towards the second surface. The side wall remains substantially perpendicular to the first surface. | 11-12-2015 |
20150367475 | Apparatus for Wafer Grinding - A grinding wheel comprises an outer base with a first attached grain pad; and an inner frame with a second attached grain pad; and a spindle axis shared by the outer base and the inner frame, wherein at least one of the outer base and the inner frame can move independently along the shared spindle axis; and wherein the outer base, the inner frame, and the shared spindle axis all have a same center. A grinding system comprises an above said grinding wheel, and a wheel head attached to the shared spindle axis, capable of moving vertically, in addition to a motor driving the grinding wheel to spin; and a chuck table for fixing a wafer on top of the chuck table; wherein the grinding wheel overlaps a portion of the chuck table, each capable of spinning to the opposite direction of another. | 12-24-2015 |
20150375354 | METHOD AND DEVICE FOR TREATING THE SURFACE OF OBJECTS - When decoating a glass panel ( | 12-31-2015 |
20150375363 | METHOD OF PRODUCING CARRIER FOR USE IN DOUBLE-SIDE POLISHING APPARATUS AND METHOD OF DOUBLE-SIDE POLISHING WAFERS - A method of producing a carrier for use in a double-side polishing apparatus, the method including engaging an insert with a holding hole formed in a carrier body and sticking the insert to the holding hole, the carrier body being configured to be disposed between upper and lower turn tables to which polishing pads are attached of the double-side polishing apparatus, the holding hole being configured to hold a wafer during polishing, the insert being configured to contact an edge of the wafer to be held, the method including: performing a lapping process and a polishing process on the insert; engaging the insert subjected to the lapping process and the polishing process with the holding hole of the carrier body; and sticking and drying the engaged insert while applying a load to the insert in a direction perpendicular to main surfaces of the carrier body. | 12-31-2015 |
20160001416 | POLISHING COMPOSITION AND METHOD FOR PRODUCING POLISHED ARTICLE - This invention provides a polishing composition comprising an abrasive, a water-soluble polymer and water. The water-soluble polymer comprises a polymer A having an adsorption ratio of lower than 5% and a polymer B having an adsorption ratio of 5% or higher, but lower than 95% based on a prescribed adsorption ratio measurement. Herein, the polymer B is selected from polymers excluding hydroxyethyl celluloses. | 01-07-2016 |
20160005618 | COMPLIANT POLISHING PAD AND POLISHING MODULE - A polishing device includes a housing, a flexible base coupled to the housing, and a contact region disposed on a first side of the flexible base, wherein the flexible base expands and contracts based on pressure contained within the housing and a second side of the flexible base to form a contact area on the first side that is less than a surface area of the flexible base. | 01-07-2016 |
20160064230 | WAFER PROCESSING METHOD - A wafer processing method includes a first grinding step and a second grinding step. In the first grinding step, first grinding abrasives are moved in a processing feed direction that is a direction orthogonal to a holding surface of a chuck table of grinding apparatus and a wafer is ground to form a first circular recess in the back surface of the wafer. In the second grinding step, second grinding abrasives formed of finer abrasive grains than the first grinding abrasives are moved down in an oblique direction from the center side of the wafer toward the periphery of the wafer and the first circular recess is ground. | 03-03-2016 |
20160067843 | ELECTRONIC GRADE GLASS SUBSTRATE AND MAKING METHOD - An electronic grade glass substrate is provided with a recess, channel or step in one surface, and a first chamfer between the side surface of the recess, channel or step and the one surface. The side and bottom surfaces of the recess, channel or step are mirror finished, and the first chamfer is mirror finished. | 03-10-2016 |
20160075597 | PRODUCING A DISC FROM CERAMIC GLASS - A method for manufacturing a ceramic glass pan is put forward, includes providing a green glass pane, mechanically grinding and/or polishing the green glass pane, and ceramising the green glass pane into the ceramic glass pane after grinding and/or polishing. The polishing time and polishing effort can be significantly reduced if the glass is polished before the ceramisation step. | 03-17-2016 |
20160101498 | BUFFING APPARATUS AND SUBSTRATE PROCESSING APPARATUS - A buffing apparatus for buffing a substrate is provided. The apparatus includes: a buff table for holding the substrate, the buff table being rotatable; a buff head to which a buff pad for buffing the substrate is attachable, the buff head being rotatable and movable in a direction approaching the buff table and a direction away from the buff table, and an internal supply line for supplying process liquid for the buffing to the substrate being formed inside the buff head; and an external nozzle provided separately through the internal supply line in order to supply the process liquid to the substrate. | 04-14-2016 |
20160114456 | POLISHING METHOD AND POLISHING APPARATUS - A polishing method which can properly inflate a membrane of a polishing head when a substrate, such as a wafer, is released from the polishing head, is disclosed. In this method, the substrate is polished while moving a polishing table and the polishing head relative to each other. The polishing head has a substrate holding surface and a membrane formed by a membrane. Further, a secondary-side valve is closed and a primary-side valve is opened, thereby storing a fluid, having a pressure adjusted by a pressure regulator, in a fluid storage element. The primary-side valve is then closed and the secondary-side valve is opened to supply the fluid from the fluid storage element into a pressure chamber of the polishing head, thereby inflating the membrane to form a gap between the substrate and the membrane. A releasing shower is ejected into this gap to thereby release the polished substrate from the polishing head. | 04-28-2016 |
20160136770 | CONCRETE CUTTING, POLISHING AND COLORING TREATMENT SOLUTIONS AND METHODS - Systems and methods for treating concrete, which includes the steps of wetting a surface of concrete with a amorphous colloidal silica, allowing time for the colloidal silica to penetrate the concrete surface, and cutting the surface of the concrete with a bladed tool wherein the longitudinal blade portion is positioned approximately at an angle between 30 degrees and 90 degrees relative to the surface of the concrete. | 05-19-2016 |
20160136772 | SUBSTRATE GRINDING AND POLISHING DISK - A grinding disk, surfacing platform, apparatus and method adaptable to a rotary concrete surfacing machine. A media disk is pivotally attached to a surfacing platform to maintain a substantially coplanar alignment of an abrasive or polishing media surface and the underlying substrate to be polished. The surfacing platform engages with rotating arms of the machine in operation and may be readily be detached by rotating in the opposite direction of operation. | 05-19-2016 |
20160136776 | METHOD OF PLANARIZING A WAFER - A method of planarizing a wafer includes pressing the wafer against a planarization pad. The method further includes moving the planarization pad relative to the wafer. The method further includes conditioning the planarization pad using a pad conditioner. Conditioning the planarization pad includes moving the planarization pad relative to the pad conditioner. The pad conditioner includes abrasive particles having aligned tips a substantially constant distance from a surface of substrate of the pad conditioner. | 05-19-2016 |
20160165735 | METHOD OF MANUFACTURING SUBSTRATE AND METHOD OF MANUFACTURING ELECTRONIC DEVICE - There is provided a method of manufacturing a substrate, the method including: polishing a surface of a material substrate; and forming a penalization film on the surface of the material substrate alter the polishing of the surface of the material substrate. | 06-09-2016 |
20160375547 | SUBSTRATE PROCESSING METHOD - In a substrate processing method according to an embodiment, a surface of an object to be polished disposed on a substrate is polished on a polishing pad supplied with slurry. After the polishing process using the slurry, the surface of the object to be polished on the polishing pad is polished, while supplying water on the polishing pad where a residue including the slurry or a sludge of the polishing pad adhered. After the polishing process using the water, the surface of the object to be polished is cleaned on the polishing pad by supplying rinse liquid on the polishing pad. | 12-29-2016 |
20170232573 | POLISHING MEMBER AND SEMICONDUCTOR MANUFACTURING METHOD | 08-17-2017 |
20180021912 | DIAMOND SURFACE POLISHING METHOD AND APPARATUS FOR IMPLEMENTING SAME | 01-25-2018 |
20190148125 | APPARATUS AND METHOD FOR PROCESSING A SURFACE OF A SUBSTRATE | 05-16-2019 |
20220134504 | WAFER GRINDING METHOD - A wafer grinding method includes a step of holding a wafer on a holding surface of a chuck table, a first grinding step of controlling a grinding feeding mechanism by a control unit so as to increase or decrease a load value measured by a load measuring unit and grinding the wafer to a thickness not reaching a predetermined finished thickness of the wafer, and a second grinding step of imparting a preset load value and grinding the wafer until the predetermined finished thickness is reached, after the first grinding step. | 05-05-2022 |