Entries |
Document | Title | Date |
20080207002 | METHOD OF REMOVING GRAPHITIC AND/OR FLUORINATED ORGANIC LAYERS FROM THE SURFACE OF A CHIP PASSIVATION LAYER HAVING SI-CONTAINING COMPOUNDS - A method for removing undesirable contaminants from a chip passivation layer surface without creating SiO | 08-28-2008 |
20080233755 | Method of Removing Metallic, Inorganic and Organic Contaminants from Chip Passivation Layer Surfaces - A method of removing and/or reducing undesirable contaminants removes residues including graphitic layers, fluorinate layers, calcium sulfate (CaSO | 09-25-2008 |
20080233756 | Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles - In a semiconductor device manufacturing apparatus that processing a substrate by applying a voltage to a gas to create a plasma, positively charged particles are trapped or guided at the instant that the cathode voltage is stopped, by an electrode to which is imparted a negative voltage, so as to prevent particles reaching the substrate. | 09-25-2008 |
20080248652 | SEMICONDUCTOR MANUFACTURING APPARATUS AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A semiconductor manufacturing apparatus includes a chamber, a gas supplier, a vacuum pump, an electrode, a conductive knitted wire mesh and a radio frequency power supply. The electrode is placed outside of the chamber and fixed to the chamber. The gas supplier supplies gas into the chamber. The vacuum pump exhausts the chamber. The radio frequency power supply supplies radio frequency power to the electrode through the conductive knitted wire mesh. | 10-09-2008 |
20080261404 | METHOD OF MAKING SEMICONDUCTOR DEVICE - A plasma processing method, which enables the etching controllability for a high-dielectric-constant insulating film to be improved. A substrate having a high-dielectric-constant gate insulating film and a hard mask formed thereon is subjected to etching processing using a plasma of a processing gas containing a noble gas and a reducing gas. | 10-23-2008 |
20080280451 | Plasma processing method and plasma processing apparatus - A plasma processing apparatus includes: a film which is made of an insulative material and constructs a surface of a sample stage on which a sample is put; a disk-shaped member whose upper surface is joined with the film in a lower portion of the film and which is made of a heat conductive member; heaters which are arranged in the film and arranged in a center portion and regions of its outer peripheral side of the film; coolant channels which are arranged in the disk-shaped member and in which a coolant for cooling the disk-shaped member flows; a plurality of power sources each of which adjusts an electric power to each of the heaters in the plurality of regions; and a controller which adjusts outputs from the plurality of power sources by using a result obtained by presuming a temperature of the upper surface of the disk-shaped member. | 11-13-2008 |
20080286977 | Process to open carbon based hardmask overlying a dielectric layer - A method of opening a carbon-based hardmask layer composed of amorphous carbon containing preferably at least 60% carbon and between 10 and 40% hydrogen. The hardmask is opened by plasma etching using an etching gas composed of H | 11-20-2008 |
20080311757 | SYSTEM AND METHOD FOR CHEMICAL DRY ETCHING SYSTEM - A system and method for chemical dry etching system. The present invention provides a method for performing an etching process for manufacture of integrated circuits. The method includes providing a semiconductor wafer. The method also includes the step of maintaining the semiconductor wafer in a predetermined environment. The method includes subjecting a portion of the layer to a plasma environment. The plasma environment includes one or more plasma species. For example, the plasma species are used to perform etching. The method also includes monitoring a pressure condition within a first transport device using a sensing device. The sensing device is spatially configured between a valve and a pumping device. The valve is coupled to a second exhaust coupled to the plasma chamber. The method additionally includes determining if the pressure condition within the first exhaust is within a predetermined condition. The method includes removing the one or more plasma species through the first exhaust, through the valve, and through the second exhaust if the pressure condition within the first exhaust is within the predetermined condition. | 12-18-2008 |
20080318431 | Shower Plate and Plasma Treatment Apparatus Using Shower Plate - A shower plate for plasma processing, which is formed by a plurality of pipes. A pipe includes a porous material member disposed along the pipe, which has a predetermined porosity with respect to a material gas, and which has an outwardly convex shape, and a metal member faced to the porous material member and that forms a material gas flow path in combination with the porous material member. A nozzle structure capable of releasing the material gas with a spread can be realized. | 12-25-2008 |
20090004869 | MASK FORMING AND IMPLANTING METHODS USING IMPLANT STOPPING LAYER - Methods of forming a mask for implanting a substrate and implanting using an implant stopping layer with a photoresist provide lower aspect ratio masks that cause minimal damage to trench isolations in the substrate during removal of the mask. In one embodiment, a method of forming a mask includes: depositing an implant stopping layer over the substrate; depositing a photoresist over the implant stopping layer, the implant stopping layer having a density greater than the photoresist; forming a pattern in the photoresist by removing a portion of the photoresist to expose the implant stopping layer; and transferring the pattern into the implant stopping layer by etching to form the mask. The implant stopping layer may include: hydrogenated germanium carbide, nitrogenated germanium carbide, fluorinated germanium carbide, and/or amorphous germanium carbon hydride (GeHX), where X includes carbon. The methods/mask reduce scattering during implanting because the mask has higher density than conventional masks. | 01-01-2009 |
20090004870 | METHODS FOR HIGH TEMPERATURE ETCHING A HIGH-K MATERIAL GATE STRUCTURE - Methods for etching high-k material at high temperatures are provided. In one embodiment, a method etching high-k material on a substrate may include providing a substrate having a high-k material layer disposed thereon into an etch chamber, forming a plasma from an etching gas mixture including at least a halogen containing gas into the etch chamber, maintaining a temperature of an interior surface of the etch chamber in excess of about 100 degree Celsius while etching the high-k material layer in the presence of the plasma, and maintaining a substrate temperature between about 100 degree Celsius and about 250 degrees Celsius while etching the high-k material layer in the presence of the plasma. | 01-01-2009 |
20090011604 | PHOTON INDUCED REMOVAL OF COPPER - Preferred embodiments provide a method for removing at least part of a copper comprising layer from a substrate, the substrate comprising at least a copper comprising surface layer. The method comprises in a first reaction chamber converting at least part of the copper comprising surface layer into a copper halide surface layer and in a second reaction chamber removing at least part of the copper halide surface layer by exposing it to a photon comprising ambient, thereby initiating formation of volatile copper halide products. During exposure to the photon comprising ambient, the method furthermore comprises removing the volatile copper halide products from the second reaction chamber to avoid saturation of the volatile copper halide products in the second reaction chamber. The method according to preferred embodiments may be used to pattern copper comprising layers. For example, the method according to preferred embodiments may be used to form copper comprising interconnect structures in a semiconductor device. | 01-08-2009 |
20090023294 | METHOD FOR ETCHING USING ADVANCED PATTERNING FILM IN CAPACITIVE COUPLING HIGH FREQUENCY PLASMA DIELECTRIC ETCH CHAMBER - A method for etching wafers using advanced patterning film (APF) to reduce bowing and improve bottom-to-top ratios includes providing a wafer having an APF layer into a processing chamber, wherein the processing chamber is configured with a power source operating at about 162 MHz, supplying a process gas into the chamber, applying a source power using the 162 MHz power source, and applying a bias power to the wafer. The process gas comprises hydrogen gas (H2), nitrogen gas (N2), and carbon monoxide gas (CO). The ratio of H2:N2 is about 1:1. Additionally, the wafer temperature is adjusted to improve the etching characteristics. | 01-22-2009 |
20090023295 | Manufacturing method for semiconductor chips - By performing plasma etching on the second surface of a semiconductor wafer on the first surface of which an insulating film is placed in dividing regions and on the second surface of which a mask for defining the dividing regions are placed, the second surface being located opposite from the first surface, the insulating film is exposed from an etching bottom portion by removing portions that correspond to the dividing regions. Subsequently, by continuously performing the plasma etching in the state in which the exposed surfaces of the insulating film are charged with electric charge due to ions in the plasma, corner portions put in contact with the insulating film are removed. Subsequently, by removing the mask and thereafter performing plasma etching on the second surface, corner portions located on the second surface side are removed. | 01-22-2009 |
20090053900 | Processing Apparatus and Processing Method - A processing apparatus includes a process container having a placing table for placing a processing object, an exhaust system having vacuum pumps and a pressure control valve for exhausting atmosphere in the process container. A gas injection unit having a gas ejection hole is provided in the process container, as well as a gas supplying unit for supplying a process gas to the gas injection unit. The entire process apparatus is controlled by a controlling unit. The control unit controls the exhaust system and the gas supplying unit. When starting a predetermined process, the process gas at a flow rate greater than a prescribed flow rate is supplied for a short time while exhausting the atmosphere in the process container by the exhaust system, and then the process gas at a prescribed flow rate is supplied. | 02-26-2009 |
20090068844 | Etching Process - Mixtures of fluorine and inert gases like nitrogen and/or argon can be used for etching of semiconductors, solar panels and flat panels (TFTs and LCDs), and for cleaning of semiconductor surfaces and plasma chambers. Preferably, fluorine is comprised in an amount of 15 to 25 vol.-% in binary mixtures. The gas mixtures can be used as substitute or drop-in for respective mixtures comprising NF | 03-12-2009 |
20090087996 | LINE WIDTH ROUGHNESS CONTROL WITH ARC LAYER OPEN - To achieve the foregoing and in accordance with the purpose of the present invention a method for etching an etch layer disposed below an antireflective coating (ARC) layer below a patterned mask is provided. The ARC layer is opened, and features are etched into the etch layer through the patterned mask. The opening the ARC layer includes (1) providing an ARC opening gas comprising a halogen containing gas, COS, and an oxygen containing gas, (2) forming a plasma from the ARC opening gas to open the ARC layer, and (3) stopping providing the ARC opening gas to stop the plasma. The patterned mask may be a photoresist (PR) mask having a line-space pattern. COS in the ARC opening gas reduces line width roughness (LWR) of the patterned features of the etch layer. | 04-02-2009 |
20090093124 | Method of manufacturing semiconductor device - To provide a method of manufacturing a semiconductor device, which includes a process capable of excellently removing a photoresist in which a high dose of ion is implanted. A photoresist with a high dose of ion implanted therein is removed from a wafer through a first removing process for carrying out a plasma process of at least a reaction gas including oxygen molecules and hydrogen molecules to remove an organic component in the photoresist from the wafer and a second removing process for carrying out a plasma process of at least a reaction gas including hydrogen molecules following the first removing process to remove a dopant deposit from the wafer. | 04-09-2009 |
20090104781 | PLASMA PROCESSING APPARATUS, RING MEMBER AND PLASMA PROCESSING METHOD - [Problem to be Solved] In a plasma processing apparatus for executing a process using plasma, promoting the sharing of an apparatus in executing a plurality of different processes and plasma states amongst apparatuses in executing same processes in a plurality of apparatuses are provided. | 04-23-2009 |
20090111275 | PLASMA ETCHING METHOD AND STORAGE MEDIUM - A plasma etching method that can prevent residues from becoming attached to bottoms and sides of via holes and trenches. An interlayer insulation film formed of C | 04-30-2009 |
20090111276 | Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body - A temperature control module for a semiconductor processing chamber comprises a thermally conductive component body, one or more channels in the component body and one or more tubes concentric therewith, such that gas filled spaces surround the tubes. By flowing a heat transfer liquid in the tubes and adjusting the gas pressure in the spaces, localized temperature of the component body can be precisely controlled. One or more heating elements can be arranged in each zone and a heat transfer liquid can be passed through the tubes to effect heating or cooling of each zone by activating the heating elements and/or varying pressure of the gas in the spaces. | 04-30-2009 |
20090117745 | METHODS FOR SELECTIVELY ETCHING A BARRIER LAYER IN DUAL DAMASCENE APPLICATIONS - Methods for etching a dielectric barrier layer with high selectivity to a dielectric bulk insulating layer and/or a hardmask layer in a dual damascene structure are provided. In one embodiment, the method includes providing a substrate having a portion of a dielectric barrier layer exposed through a dielectric bulk insulating layer in an etch reactor, flowing a gas mixture containing SiF | 05-07-2009 |
20090117746 | GAS SUPPLY DEVICE, SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A gas supply mechanism includes a gas introduction member having gas inlet portions through which a gas is introduced into a processing chamber, a processing gas supply unit, a processing gas supply path, branch paths, an additional gas supply unit and an additional gas supply path. The gas inlet portions includes inner gas inlet portions for supplying the gas toward a region where a target substrate is positioned in the chamber and an outer gas inlet portion for introducing the gas toward a region outside an outermost periphery of the target substrate. The branch paths are connected to the inner gas inlet portions, and the additional gas supply path is connected to the outer gas inlet portion. | 05-07-2009 |
20090124087 | Vertical plasma processing apparatus and method for using same - A vertical plasma processing apparatus for a semiconductor process for performing a plasma process on target substrates all together includes an exciting mechanism configured to turn at least part of a process gas into plasma. The exciting mechanism includes first and second electrodes provided to a plasma generation box and facing each other with a plasma generation area interposed therebetween, and an RF power supply configured to supply an RF power for plasma generation to the first and second electrodes and including first and second output terminals serving as grounded and non-grounded terminals, respectively. A switching mechanism is configured to switch between a first state where the first and second electrodes are connected to the first and second output terminals, respectively, and a second state where the first and second electrodes are connected to the second and first output terminals, respectively. | 05-14-2009 |
20090130855 | Phase change alloy etch - A method of forming devices is provided. A phase change layer is provided. The phase change layer is etched by providing an etch gas comprising a bromine containing compound and forming a plasma from the etch gas. The phase change layer is of a material that may be heated by a current and then when cooled, either forms an amorphous material or a crystalline material, depending on how fast the material is cooled. In addition, the amorphous material has a resistance at least several times greater than the crystalline material. | 05-21-2009 |
20090130856 | METHOD FOR MONITORING PROCESS DRIFT USING PLASMA CHARACTERISTICS - Methods for monitoring process drift using plasma characteristics are provided. In one embodiment, a method for monitoring process drift using plasma characteristics includes obtaining metrics of current and voltage information of a first waveform coupled to a plasma during a plasma process formed on a substrate, obtaining metrics of current and voltage information of a second waveform coupled to the plasma during the plasma process formed on the substrate, the first and second waveforms having different frequencies, determining at least one characteristic of the plasma using the metrics obtained from each different frequency waveform, and adjusting the plasma process in response to the determined at least one characteristic of the plasma. | 05-21-2009 |
20090137128 | Substrate Processing Apparatus and Semiconductor Device Producing Method - Disclosed is a substrate processing apparatus including: a reaction tube to accommodate at least one substrate; at least a pair of electrodes disposed outside the reaction tube; and a dielectric member, wherein a plasma generation region is formed at least in a space between an inner wall of the reaction tube and an outer circumferential edge of the substrate, the member includes a main face extending in a radial direction of the substrate and in a substantially entire circumferential direction of the substrate in a horizontal plane parallel to a main face of the substrate, and is disposed in an outer circumferential region of the substrate, and gas activated in the plasma generation region is supplied through a surface region of the main face of the member to the substrate. | 05-28-2009 |
20090149028 | METHODS AND APPARATUS FOR A HYBRID CAPACITIVELY-COUPLED AND AN INDUCTIVELY-COUPLED PLASMA PROCESSING SYSTEM - A capacitively-coupled plasma (CCP) processing system having a plasma processing chamber for processing a substrate is provided. The capacitively-coupled Plasma (CCP) processing system includes an upper electrode and a lower electrode for processing the substrate, which is disposed on the lower electrode during plasma processing. The capacitively-coupled Plasma (CCP) processing system also includes an array of inductor coils arrangement configured to inductively sustain plasma in a gap between the upper electrode and the lower electrode. | 06-11-2009 |
20090156010 | THIN FILM ETCHING METHOD AND SEMICONDUCTOR DEVICE FABRICATION USING SAME - A method for etching a thin film and fabricating a semiconductor device includes etching the thin film on a substrate, while monitoring the removal of an endpoint detection layer remotely located from the substrate, such that precise control of the thin film etching is provided by monitoring the removal of the endpoint detection layer. The endpoint detection layer is formed on a surface of an etching apparatus that is exposed to the same etching conditions as the thin film to be etched. The etching of the thin film is stopped when a predetermined amount of the endpoint detection layer has removed from the surface of the etching apparatus. | 06-18-2009 |
20090156011 | Method of controlling CD bias and CD microloading by changing the ceiling-to-wafer gap in a plasma reactor - In a plasma etch process, critical dimension (CD), CD bias and CD bias microloading are controlled independently of plasma process conditions or parameters, such as RF power levels, pressure and gas flow rate, by depressing or elevating the workpiece support pedestal to vary the gap between the workpiece and the chamber ceiling facing the workpiece, using an axially adjustable workpiece support. | 06-18-2009 |
20090170333 | SHALLOW TRENCH ISOLATION ETCH PROCESS - Methods for fabricating one or more shallow trench isolation (STI) structures are provided herein. In some embodiments, a method for fabricating one or more shallow trench isolation (STI) structures may include providing a substrate having a patterned mask layer disposed thereon to define one or more STI structures. The substrate may be etched using a plasma formed from a process gas mixture to form one or more STI structures on the substrate, wherein the process gas mixture comprises a fluorine-containing gas and either a fluorocarbon-containing gas or a hydrofluorocarbon-containing gas. | 07-02-2009 |
20090170334 | Copper Discoloration Prevention Following Bevel Etch Process - A method of bevel edge etching a semiconductor substrate having exposed copper surfaces with a fluorine-containing plasma in a bevel etcher in which the semiconductor substrate is supported on a semiconductor substrate support comprises bevel edge etching the semiconductor substrate with the fluorine-containing plasma in the bevel etcher; evacuating the bevel etcher after the bevel edge etching is completed; flowing defluorinating gas into the bevel etcher; energizing the defluorinating gas into a defluorination plasma at a periphery of the semiconductor substrate; and processing the semiconductor substrate with the defluorination plasma under conditions to prevent discoloration of the exposed copper surfaces of the semiconductor substrate upon exposure, the discoloration occurring upon prolonged exposure to air. | 07-02-2009 |
20090181545 | DRY-ETCHING METHOD AND APPARATUS - A resist damage free dry-etching process is proposed. A time duration defined until bias electric power is applied is controlled according to a plasma ignition detection signal. Wafer back-side gas pressure for a certain constant time after starting of an etching process operation is set to be lower than that as to a main etching condition. Within the time duration defined after starting of the etching process operation up to a certain constant time, C | 07-16-2009 |
20090186487 | EDGE RING ASSEMBLY WITH DIELECTRIC SPACER RING - An edge ring assembly surrounds a substrate support surface in a plasma etching chamber. The edge ring assembly comprises an edge ring and a dielectric spacer ring. The dielectric spacer ring, which surrounds the substrate support surface and which is surrounded by the edge ring in the radial direction, is configured to insulate the edge ring from the baseplate. Incorporation of the edge ring assembly around the substrate support surface can decrease the buildup of polymer at the underside and along the edge of a substrate and increase plasma etching uniformity of the substrate. | 07-23-2009 |
20090191715 | Method for etching interlayer dielectric film - In the fine processing of holes and/or trenches by dry-etching an interlayer dielectric film covered with a resist mask formed by ArF-photolithography within a plasma atmosphere, the etching gas used comprises a halogen atom-containing gas (the halogen atom being selected from F, I and/or Br) or a fluorinated carbon atom-containing compound gas in which the ratio of at least one of I and Br is not more than 26% of the total amount of the halogen atoms as expressed in terms of the atomic compositional ratio and the balance of the gas consists of fluorine atoms. Occurrence of striation can be suppressed and a high processing accuracy through etching can be accomplished. | 07-30-2009 |
20090197418 | SUBSTRATE PROCESSING APPARATUS - A method of using a heat exchanger efficiently and uniformly to cool or heats portions to be controlled to a prescribed temperature, and then continuously carry out stable processing. The heat exchanger is constructed by arranging partition walls between two plates to form a fluid channel and a fin parallel with the channel or inclined by a prescribed angle on each of the two plates insides the channel so that the plate or a member in contact with the plate is cooled or heated with the fluid flowing through the channel. | 08-06-2009 |
20090197419 | PROCESS FOR REMOVING HIGH STRESSED FILM USING LF OR HF BIAS POWER AND CAPACITIVELY COUPLED VHF SOURCE POWER WITH ENHANCED RESIDUE CAPTURE - A method of fabricating multilayer interconnect structures on a semiconductor wafer uses an interior surface of a metal lid that has been roughed to a surface roughness in excess of RA 2000 with a reentrant surface profile. The metal lid is installed as the ceiling of a plasma clean reactor chamber having a wafer pedestal facing the interior surface of the ceiling. | 08-06-2009 |
20090203217 | NOVEL SELF-ALIGNED ETCH METHOD FOR PATTERNING SMALL CRITICAL DIMENSIONS - A method is disclosed for etching an integrated circuit structure within a trench. A layer to be etched is applied over the structure and within the trench. A CF-based polymer is deposited over the layer to be etched followed by deposition of a capping layer of SiOCl-based polymer. The CF-based polymer reduces the width of the trench to such an extent that little or no SiOCl-based polymer is deposited at the bottom of the trench. An O | 08-13-2009 |
20090209108 | SUBSTRATE PROCESSING METHOD - A substrate processing method that can prevent a decrease in the yield of semiconductor devices manufactured from substrates. A gas containing fluorine atoms is supplied into a chamber, and then chlorine gas is supplied into the chamber. Further, a gas containing nitrogen atoms is supplied into the chamber. | 08-20-2009 |
20090215273 | METHOD OF FABRICATING A SEMICONDUCTOR DEVICE - In a method of fabricating a semiconductor device, a charge storage layer is etched using an etching gas by which a tunnel insulating layer is less etched than the charge storage layer. Thus, it is possible to prevent the tunnel insulating layer formed below the charge storage layer from being damaged when the charge storage layer is patterned. The method of fabricating a semiconductor device includes providing a semiconductor substrate on which a tunnel insulating layer and a charge storage layer formed of an insulating material are formed; forming a stack layer on the charge storage; patterning the stack layer to expose a portion of the charge storage layer; and etching the exposed charge storage layer using as etching gas hydrogen bromide (HBr) gas, chloride (Cl | 08-27-2009 |
20090221150 | ETCH RATE AND CRITICAL DIMENSION UNIFORMITY BY SELECTION OF FOCUS RING MATERIAL - A method and apparatus are provided for plasma etching a substrate in a processing chamber. A focus ring assembly circumscribes a substrate support, providing uniform processing conditions near the edge of the substrate. The focus ring assembly comprises two rings, a first ring and a second ring, the first ring comprising quartz, and the second ring comprising monocrystalline silicon, silicon carbide, silicon nitride, silicon oxycarbide, silicon oxynitride, or combinations thereof. The second ring is disposed above the first ring near the edge of the substrate, and creates a uniform electric field and gas composition above the edge of the substrate that results in uniform etching across the substrate surface. | 09-03-2009 |
20090239383 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - Provided is a semiconductor device manufacturing method by which plasma processing can be performed uniformly on a substrate. A plasma processing apparatus according to one embodiment of the present invention includes an auxiliary electrode provided annularly along a periphery of the lower electrode on a lateral side of the lower electrode. When plasma processing is performed on a substrate S, a potential of the lower electrode is set lower than the potential of the upper electrode while a potential of the auxiliary electrode is set lower than a potential of the upper electrode. | 09-24-2009 |
20090246964 | Etching process for phase-change films - The invention is directed to a method for etching a phase change material layer comprising steps of providing a phase change material layer and performing a first etching process on the phase change material layer. The etching process is performed with an etchant comprising a fluoride-based gas with a concentration of the fluoride-based gas up to 85% of a total volume of the etchant. | 10-01-2009 |
20090269934 | PLASMA TREATMENT METHOD FOR PREVENTING DEFECTS IN DOPED SILICON OXIDE SURFACES DURING EXPOSURE TO ATMOSPHERE - Formation of BPSG surface defects upon exposure to atmosphere is prevented by a plasma treatment method for converting boron and/or phosphorus materials separated from silicon near the surface of the doped glass layer to gas phase compounds. The treatment plasma is generated from a treatment process gas containing one of (a) a fluorine compound or (b) a hydrogen compound. | 10-29-2009 |
20090280651 | DRY ETCHING METHOD - The invention provides a dry etching method for performing a wiring process on a semiconductor substrate using a plasma etching apparatus, wherein the wiring process is performed without causing disconnection or deflection of the wiring. The invention provides a dry etching method for performing a wiring process on a semiconductor substrate using a plasma etching apparatus, wherein during a step for etching a material | 11-12-2009 |
20090286405 | SHOWER PLATE, AND PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD AND ELECTRONIC DEVICE MANUFACTURING METHOD USING THE SHOWER PLATE - Provided is a shower plate in which there's no need for a cover plate. The shower plate | 11-19-2009 |
20090291562 | HELIUM DESCUMMING - A method for forming semiconductor devices is provided. A wafer with a patterned photoresist mask over the wafer, wherein the patterned photoresist mask has patterned photoresist mask features with scum at bottoms of the photoresist mask features is provided. The scum is removed from the bottoms of the photoresist mask features, comprising: providing a descumming gas consisting essentially of helium and forming the helium into a plasma, which removes the scum. | 11-26-2009 |
20090291563 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - The shower plate is arranged to seal an upper opening of a process container that is configured by a chamber, a spacer, and an upper plate. A plasma excitation gas is spurted into the chamber through the opening portions of the shower plate. Microwaves are supplied to a slot antenna arranged outside the shower plate, thereby generating plasma. Atmospheric air in a first gap between the inner wall of the spacer and the outer circumferential surface of the shower plate and a second gap between a radiation surface of the slot antenna and the dielectric cover plate is sucked by a gas suction unit through gas exhaust holes. The toxic gas is purified by a gas purification unit. Thus, the toxic gas is prevented from leaking out of the plasma processing apparatus even when the shower plate is broken. | 11-26-2009 |
20090291564 | APPARATUS AND METHOD FOR PLASMA PROCESSING - At the time of plasma igniting or during plasma processing, only optimizing the distance between electrodes in each case caused a limitation to the prevention of charging damage. To resolve this, a novel plasma processing method employs a plasma processing apparatus which includes an upper electrode to which first high-frequency power is applied, a lower electrode to which second high-frequency power is applied, and a lift mechanism for controlling the spacing between the upper and lower electrodes. The first high-frequency power is applied to the upper electrode to cause plasma igniting. The method is adapted to make the spacing between the upper and lower electrodes larger at least at the time of plasma extinction than during plasma processing of a wafer on the lower electrode. | 11-26-2009 |
20090305509 | Showerhead electrode assemblies for plasma processing apparatuses - Showerhead electrode assemblies are disclosed, which include a showerhead electrode adapted to be mounted in an interior of a vacuum chamber; an optional backing plate attached to the showerhead electrode; a thermal control plate attached to the backing plate or to the showerhead electrode at multiple contact regions across the backing plate; and at least one interface member separating the backing plate and the thermal control plate, or the thermal control plate and showerhead electrode, at the contact regions, the interface member having a thermally and electrically conductive gasket portion and a particle mitigating seal portion. Methods of processing semiconductor substrates using the showerhead electrode assemblies are also disclosed. | 12-10-2009 |
20090311869 | SHOWER PLATE AND MANUFACTURING METHOD THEREOF, AND PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD AND ELECTRONIC DEVICE MANUFACTURING METHOD USING THE SHOWER PLATE - Provided is a shower plate capable of more securely preventing the occurrence of backflow of plasma and enabling efficient plasma excitation. A shower plate | 12-17-2009 |
20090325387 | METHODS AND APPARATUS FOR IN-SITU CHAMBER DRY CLEAN DURING PHOTOMASK PLASMA ETCHING - Embodiments of the invention include method for in-situ chamber dry clean after photomask plasma etching. In one embodiment, the method includes placing a photomask upon a support pedestal, introducing a process gas into a process chamber, forming a plasma from the process gas, etching a chromium containing layer disposed on the photomask in the presence of the plasma, removing the photomask from the support pedestal, placing a dummy substrate on the pedestal and performing an in-situ dry cleaning process by flowing a cleaning gas containing O | 12-31-2009 |
20100003824 | Clamped showerhead electrode assembly - An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which includes an inner electrode mechanically attached to a backing plate by a clamp ring and an outer electrode attached to the backing plate by a series of spaced apart cam locks. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release cam pins extending upward from the upper face of the outer electrode. To compensate for differential thermal expansion, the clamp ring can include expansion joins at spaced locations which allow the clamp ring to absorb thermal stresses. | 01-07-2010 |
20100003825 | PLASMA ETCHING METHOD, CONTROL PROGRAM AND COMPUTER STORAGE MEDIUM - A plasma etching method, for plasma-etching a target substrate including at least a film to be etched, an organic film to become a mask of the to-be-etched film, and a Si-containing film which are stacked in order from bottom, includes the first organic film etching step, the treatment step and the second organic film etching step when the organic film is etched to form a mask pattern of the to-be-etched film. In the first organic film etching step, a portion of the organic film is etched. In the treatment step, the Si-containing film and the organic film are exposed to plasma of a rare gas after the first organic film etching step. In the second organic film etching step, the remaining portion of the organic film is etched after the treatment step. | 01-07-2010 |
20100041238 | TUNABLE MULTI-ZONE GAS INJECTION SYSTEM - A tunable multi-zone injection system for a plasma processing system for plasma processing of substrates such as semiconductor wafers. The system includes a plasma processing chamber, a substrate support for supporting a substrate within the processing chamber, a dielectric member having an interior surface facing the substrate support, the dielectric member forming a wall of the processing chamber, a gas injector fixed to part of or removably mounted in an opening in the dielectric window, the gas injector including a plurality of gas outlets supplying process gas at adjustable flow rates to multiple zones of the chamber, and an RF energy source such as a planar or non-planar spiral coil which inductively couples RF energy through the dielectric member and into the chamber to energize the process gas into a plasma state. The injector can include an on-axis outlet supplying process gas at a first flow rate to a central zone and off-axis outlets supplying the same process gas at a second flow rate to an annular zone surrounding the central zone. The arrangement permits modification of gas delivery to meet the needs of a particular processing regime by allowing independent adjustment of the gas flow to multiple zones in the chamber. In addition, compared to consumable showerhead arrangements, a removably mounted gas injector can be replaced more easily and economically. | 02-18-2010 |
20100048026 | SUBSTRATE PROCESSING METHOD - A substrate processing method for processing a substrate, on which a processing target layer, an intermediate layer, and a mask layer having an opening to expose a part of the intermediate layer are stacked in this order, includes a shrink etching step. In the shrink etching step, an opening width of the opening of the mask layer is reduced by depositing deposits on a sidewall surface thereof by a plasma generated from a gaseous mixture of depositive gas expressed by a general formula C | 02-25-2010 |
20100055919 | INTEGRATION CMOS COMPATIBLE OF MICRO/NANO OPTICAL GAIN MATERIALS - A method is provided for the integration of an optical gain material into a Complementary metal oxide semiconductor device, the method comprising the steps of: configuring a workpiece from a silicon wafer upon which is disposed an InP wafer bearing an epitaxy layer; mechanically removing the InP substrate; etching the InP remaining on epitaxy layer with hydrochloric acid; depositing at least one Oxide pad on revealed the epitaxy layer; using the Oxide pad as a mask during a first pattern etch removing the epitaxy to an N level; etching with a patterned inductively coupled plasma (ICP) technique; isolating the device on the substrate with additional pattern etching patterning contacts, appling the contacts. | 03-04-2010 |
20100055920 | Apparatus and method for enhancing plasma etch - The present invention discloses a new apparatus and method to enhance the plasma etch rate, etch selectivity and etch uniformity. The present invention will apply sonic waves to the work during plasma etch process. The sonic waves will enhance the plasma etch rate. The applied sonic waves can be of a mixture of multiple frequencies at the same time or at a different time. Applying different sonic frequency for etching different material will further amplify the etch selectivity. Sonic waves with multiple frequencies, especially with some lower frequency components, will further improve the etch uniformity over a large area. | 03-04-2010 |
20100062606 | DRY ETCHING METHOD - The object of the present invention is to provide a dry etching method by which generation of a notch in an insulating layer can be suppressed and highly-accurate microfabrication can be realized. In a dry etching method according to the present invention, a substrate in which a semiconductor layer is formed on an insulating layer formed of a silicon oxide is prepared, a through-hole is formed in the semiconductor layer, and a resin film is formed on side walls of the through-hole and a recessed portion while forming the recessed portion in the insulating layer by etching an area in which the insulating layer is exposed via the through-hole. By forming the resin film on the side wall of the recessed portion, the side wall of the recessed portion is protected from collision of ions in plasma and generation of a notch in the recessed-portion side wall is suppressed. Furthermore, by forming the resin film on the side wall of the through-hole, the side wall of the through-hole is protected from the collision of ions in plasma and a hole shape of the through-hole is prevented from fluctuating. | 03-11-2010 |
20100068887 | PLASMA REACTOR WITH ADJUSTABLE PLASMA ELECTRODES AND ASSOCIATED METHODS - Plasma reactors with adjustable plasma electrodes and associated methods of operation are disclosed herein. The plasma reactors can include a chamber, a workpiece support for holding a microfeature workpiece, and a plasma electrode in the chamber and spaced apart from the workpiece support. The plasma electrode has a first portion and a second portion configured to move relative to the first portion. The first and second portions are configured to electrically generate a plasma between the workpiece support and the plasma electrode. | 03-18-2010 |
20100075505 | PLASMA PROCESSING APPARATUS AND METHODS FOR REMOVING EXTRANEOUS MATERIAL FROM SELECTED AREAS ON A SUBSTRATE - Apparatus and methods for shielding a feature projecting from a first area on a substrate to a plasma while simultaneously removing extraneous material from a different area on the substrate with the plasma. The apparatus includes at least one concavity positioned and dimensioned to receive the feature such that the feature is shielded from the plasma. The apparatus further includes a window through which the plasma removes the extraneous material. The method generally includes removing the extraneous material while shielding the feature against plasma exposure. | 03-25-2010 |
20100081284 | METHODS AND APPARATUS FOR IMPROVING FLOW UNIFORMITY IN A PROCESS CHAMBER - Methods and apparatus for processing substrates are provided herein. In some embodiments, an apparatus for processing a substrate includes a flow equalizer configured to control the flow of gases between a process volume and an exhaust port of a process chamber. The flow equalizer includes at least one restrictor plate configured to be disposed in a plane proximate a surface of a substrate to be processed and defines an azimuthally non-uniform gap between an edge of the at least one restrictor plate and one of either a chamber wall or a substrate support when installed in the process chamber. | 04-01-2010 |
20100081285 | Apparatus and Method for Improving Photoresist Properties - The invention can provide apparatus and methods of processing a substrate in real-time using subsystems and processing sequences created to improve the etch resistance of photoresist materials. In addition, the improved photoresist layer can be used to more accurately control gate and/or spacer critical dimensions (CDs), to control gate and/or spacer CD uniformity, and to eliminate line edge roughness (LER) and line width roughness (LWR). | 04-01-2010 |
20100081286 | Method of etching carbon-containing layer, method of forming contact hole using the same, and method of manufacturing semiconductor device using the same - A method of etching a carbon-containing layer, a method of forming a contact hole using the same, and a method of manufacturing a semiconductor device using the same, the method of etching a carbon-containing layer including forming a capping layer pattern on a carbon-containing layer to expose a portion of the carbon-containing layer, and plasma etching the exposed portion of the carbon-containing layer using an etching gas, wherein the etching gas includes oxygen gas and an inert gas, the inert gas being xenon gas or a gas mixture of xenon gas and argon gas. | 04-01-2010 |
20100099264 | ETCHING HIGH-K MATERIALS - A dry etch method, apparatus, and system for etching a high-k material comprises sequentially contacting the high-k material with a vapor phase reducing agent, and a volatilizing etchant in a cyclical process. In some preferred embodiments, the reducing agent and/or volatilizing etchant is plasma activated. Control over etch rate and/or selectivity are improved by the pulsed process, where, in some embodiments, each step in the cyclical process has a self-limited extent of etching. Embodiments of the method are useful in the fabrication of integrated devices, as well as for cleaning process chambers. | 04-22-2010 |
20100099265 | METHODS FOR REMOVING AN EDGE POLYMER FROM A SUBSTRATE - A method for generating plasma for removing an edge polymer from a substrate is provided. The method includes providing a powered electrode assembly, which includes a powered electrode, a dielectric layer, and a wire mesh disposed between the powered electrode and the dielectric layer. The method also includes providing a grounded electrode assembly disposed opposite the powered electrode assembly to form a cavity wherein the plasma is generated. The wire mesh is shielded from the plasma by the dielectric layer when the plasma is present in the cavity, which has an outlet at one end for providing the plasma to remove the edge polymer. The method further includes introducing at least one inert gas and at least one process gas into the cavity. The method yet also includes applying an RF field to the cavity using the powered electrode to generate the plasma from the inert gas and process gas. | 04-22-2010 |
20100112819 | PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - A plasma processing method for performing a plasma process on a substrate to be processed by making a plasma act thereon includes the following sequential steps of making a plasma weaker than one used in the plasma process act on the substrate, applying a DC voltage to an electrostatic chuck for attracting and holding the substrate while the weak plasma acts on the substrate, extinguishing the weak plasma, and performing the plasma process. Further, a plasma processing apparatus includes a plasma processing mechanism for performing a plasma process on a substrate to be processed, and a controller for controlling the plasma processing mechanism to thereby perform the plasma processing method. | 05-06-2010 |
20100130017 | FRONT END OF LINE PLASMA MEDIATED ASHING PROCESSES AND APPARATUS - Front end of line (FEOL) plasma mediated ashing processes for removing organic material from a substrate generally includes exposing the substrate to the plasma to selectively remove photoresist, implanted photoresist, polymers and/or residues from the substrate, wherein the plasma contains a ratio of active nitrogen and active oxygen that is larger than a ratio of active nitrogen and active oxygen obtainable from plasmas of gas mixtures comprising oxygen gas and nitrogen gas. The plasma exhibits high throughput while minimizing and/or preventing substrate oxidation and dopant bleaching. Plasma apparatuses are also described. | 05-27-2010 |
20100130018 | Synchronous pulse plasma etching equipment and method of fabricating a semiconductor device - Synchronous pulse plasma etching equipment includes a first electrode and one or more second electrodes configured to generate plasma in a plasma etching chamber. A first radio frequency power output unit is configured to apply a first radio frequency power having a first frequency and a first duty ratio to the first electrode, and to output a control signal including information about a phase of the first radio frequency power. At least one second radio frequency power output unit is configured to apply a second radio frequency power having a second frequency and a second duty ratio to a corresponding second electrode among the second electrodes. The second radio frequency power output unit is configured to control the second radio frequency power to be synchronized with the first radio frequency power or to have a phase difference from the first radio frequency power in response to the control signal. | 05-27-2010 |
20100136793 | EFFICIENT AND ACCURATE METHOD FOR REAL-TIME PREDICTION OF THE SELF-BIAS VOLTAGE OF A WAFER AND FEEDBACK CONTROL OF ESC VOLTAGE IN PLASMA PROCESSING CHAMBER - In a plasma reactor having an electrostatic chuck, wafer voltage may be determined from RF measurements at the bias input using previously determined constants based upon transmission line properties of the bias input, and this wafer voltage may be used to accurately control the DC wafer clamping voltage. | 06-03-2010 |
20100144157 | PLASMA ETCHING APPARATUS AND METHOD - A plasma etching apparatus includes an evacuable processing chamber for performing a plasma etching process on a target object; a mounting table for mounting thereon the target object in the processing chamber; and a shower head facing the mounting table, for introducing a processing gas for generating a plasma to the processing chamber. Further, the apparatus includes a ring-shaped protrusion protruded from a bottom surface of the shower head toward the mounting table; and a plurality of gas introducing openings inclusively arranged in an area smaller than the target object in an inner central portion of the ring-shaped protrusion on the bottom surface of the shower head. | 06-10-2010 |
20100151686 | HIGH PRESSURE BEVEL ETCH PROCESS - A method of preventing arcing during bevel edge etching a semiconductor substrate with a plasma in a bevel etcher in which the semiconductor substrate is supported on a semiconductor substrate support comprises bevel edge etching the semiconductor substrate with the plasma in the bevel etcher while evacuating the bevel etcher to a pressure of 3 to 100 Torr while maintaining RF voltage seen at the wafer at a low enough value to avoid arcing. | 06-17-2010 |
20100151687 | APPARATUS INCLUDING SHOWERHEAD ELECTRODE AND HEATER FOR PLASMA PROCESSING - A plasma processing apparatus includes a heater in thermal contact with a showerhead electrode, and a temperature controlled top plate in thermal contact with the heater to maintain a desired temperature of the showerhead electrode during semiconductor substrate processing. A gas distribution member supplies a process gas and radio frequency (RF) power to the showerhead electrode. | 06-17-2010 |
20100159703 | METHODS AND APPARATUS FOR DUAL CONFINEMENT AND ULTRA-HIGH PRESSURE IN AN ADJUSTABLE GAP PLASMA CHAMBER - A plasma processing system having a plasma processing chamber configured for processing a substrate is provided. The plasma processing system includes at least an upper electrode and a lower electrode for processing the substrate. The substrate is disposed on the lower electrode during plasma processing, where the upper electrode and the substrate forms a first gap. The plasma processing system also includes an upper electrode peripheral extension (UE-PE). The UE-PE is mechanically coupled to a periphery of the upper electrode, where the UE-PE is configured to be non-coplanar with the upper electrode. The plasma processing system further includes a cover ring. The cover ring is configured to concentrically surround the lower electrode, where the UE-PE and the cover ring forms a second gap. | 06-24-2010 |
20100159704 | METHOD FOR ETCHING A SAMPLE - The invention provides a method for performing mass production processing of etching a sample capable of maintaining a stable processing profile. The method for performing mass production processing of etching for subjecting a wafer carried into a vacuum processing reactor to plasma processing uses an apparatus comprising a vacuum processing chamber, a gas supply apparatus, a plasma generating means for generating plasma, an emission spectroscope for monitoring the plasma emission, and a device for storing the emission spectrum, wherein a non-operating time of the apparatus (idling SS) occurs in which the mass production processing of the wafer is temporarily stopped, wherein during cleaning steps S | 06-24-2010 |
20100159705 | METHOD OF PLASMA ETCHING GA-BASED COMPOUND SEMICONDUCTORS - A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent thereto. The chamber contains a Ga-based compound semiconductor sample in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. SiCl | 06-24-2010 |
20100159706 | METHOD OF PLASMA ETCHING GA-BASED COMPOUND SEMICONDUCTORS - A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl | 06-24-2010 |
20100178774 | PLASMA CONFINEMENT RINGS INCLUDING RF ABSORBING MATERIAL FOR REDUCING POLYMER DEPOSITION - Plasma confinement rings are adapted to reach sufficiently high temperatures on plasma-exposed surfaces of the rings to substantially reduce polymer deposition on those surfaces. The plasma confinement rings include an RF lossy material effective to enhance heating at portions of the rings. A low-emissivity material can be provided on a portion of the plasma confinement ring assembly to enhance heating effects. | 07-15-2010 |
20100184298 | Composite showerhead electrode assembly for a plasma processing apparatus - A showerhead electrode for a plasma processing apparatus includes an interface gel between facing surfaces of an electrode plate and a backing plate. The interface gel maintains thermal conductivity during lateral displacements generated during temperature cycling due to mismatch in coefficients of thermal expansion. The interface gel comprises, for example, a silicone based composite filled with aluminum oxide microspheres. The interface gel can conform to irregularly shaped features and maximize surface contact area between mating surfaces. The interface gel can be pre-applied to a consumable upper electrode. | 07-22-2010 |
20100184299 | SUBSTRATE PROCESSING METHOD - There is provided a substrate processing method to suppress popping while increasing the throughput in a photoresist removing process. The substrate processing method comprises: loading a substrate, which is coated with photoresist into which a dopant is introduced, into a process chamber; heating the substrate; supplying a reaction gas to the process chamber, wherein the reaction gas contains at least oxygen and hydrogen components, and concentration of the hydrogen component ranges from 60% to 70%; and processing the substrate in a state where the reaction gas is excited into plasma. In the heating of the substrate, the substrate may be heated to 220° C. to 300° C. In the heating of the substrate, the substrate may be heated to 250° C. to 300° C. | 07-22-2010 |
20100190350 | PLASMA ETCHING APPARATUS, PLASMA ETCHING METHOD AND STORAGE MEDIUM - A plasma etching method for forming a hole in an etching target film by a plasma processing apparatus is provided. The apparatus includes an RF power supply for applying RF power for plasma generation to at least one of upper and lower electrodes, and a DC power supply for applying minus DC voltage to the upper electrode. A first condition that plasma is generated by turning on the RF power supply and minus DC voltage is applied to the upper electrode and a second condition that the plasma is extinguished by turning off the RF power supply and minus DC voltage is applied to the upper electrode are alternately repeated. Etching is performed by positive ions in the plasma under the first condition and negative ions are supplied into the hole by the DC voltage to neutralize positive ions in the hole under the second condition. | 07-29-2010 |
20100197142 | HIGH SELECTIVITY, LOW DAMAGE ELECTRON-BEAM DELINEATION ETCH - A method and apparatus for selective etching a substrate using a focused beam. For example, multiple gases may be used that are involved in competing beam-induced and spontaneous reactions, with the result depending on the materials on the substrate. The gases may include, for example, an etchant gas and an auxiliary gas that inhibits etching. | 08-05-2010 |
20100203736 | Plasma Processing Method - There is provided a plasma processing method which controls a bias power to be constant without affecting the bias power supplied to a wafer, even if a part of a bias power supplied to a wafer is divided and supplied to a focus ring, and does not change the etching characteristic of the entire substrate to be processed. A high-frequency bias power supplied to a focus ring is changed by controlling the impedance control circuit according to the waste quantity of the focus ring that is wasted by the plasma processing. On the other hand, the high-frequency bias power supplied to the specimen support is controlled to the given high-frequency bias power by controlling the output of the high-frequency bias power supply. | 08-12-2010 |
20100210112 | METHOD FOR FABRICATING A SEMICONDUCTOR DEVICE HAVING A LANTHANUM-FAMILY-BASED OXIDE LAYER - Methods for fabricating a semiconductor device having a lanthanum-family-based oxide layer are described. A gate stack having a lanthanum-family-based oxide layer is provided above a substrate. At least a portion of the lanthanum-family-based oxide layer is modified to form a lanthanum-family-based halide portion. The lanthanum-family-based halide portion is removed with a water vapor treatment. | 08-19-2010 |
20100233883 | SUBSTRATE PROCESSING METHOD - A substrate processing method processes a substrate including a processing target film, an organic film provided on the processing target film and having a plurality of line-shaped portions having fine width, and a hard film covering the line-shaped portions and the processing target film exposed between the line-shaped portions. The method includes a first etching step of etching a part of the hard film to expose the organic film and portions of the processing target film between the line-shaped portions; an ashing step of selectively removing the exposed organic film; and a second etching step of etching a part of the remaining hard film. | 09-16-2010 |
20100261354 | GASKET WITH POSITIONING FEATURE FOR CLAMPED MONOLITHIC SHOWERHEAD ELECTRODE - An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A thermally and electrically conductive gasket with projections thereon is compressed between the showerhead electrode and the backing plate at a location three to four inches from the center of the showerhead electrode. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode. | 10-14-2010 |
20100267243 | PLASMA PROCESSING METHOD AND APPARATUS - In the plasma processing by an electrically negative gas, the in-plane uniformity of plasma processing is enhanced compared to the conventional case by controlling the ion density in the plasma. Not only is a processing gas being an electrically negative gas introduced from a processing gas source | 10-21-2010 |
20100273332 | METHOD AND APPARATUS FOR HIGH ASPECT RATIO DIELECTRIC ETCH - An apparatus for etching high aspect ratio features is provided. A plasma processing chamber is provided, comprising a chamber wall forming a plasma processing chamber enclosure, a lower electrode, an upper electrode, a gas inlet, and a gas outlet. A high frequency radio frequency (RF) power source is electrically connected to at least one of the upper electrode or lower electrode. A bias power system is electrically connected to both the upper electrode and the lower electrode, wherein the bias power system is able to provide a bias to the upper and lower electrodes with a magnitude of at least 500 volts, and wherein the bias to the lower electrode is pulsed to intermittently. A gas source is in fluid connection with the gas inlet. A controller is controllably connected to the gas source, the high frequency RF power source, and the bias power system. | 10-28-2010 |
20100279510 | ETCHING METHOD AND RECORDING MEDIUM - An etching method by which a fluorine-added carbon film formed on a substrate is etched by plasma includes a first step of etching the fluorine-added carbon film with plasma of an oxygen-containing processing gas, and a second step of etching the fluorine-added carbon film with plasma of a fluorine-containing processing gas. | 11-04-2010 |
20100285669 | DRY ETCHING METHOD - After etching a polysilicon film, when a protective film made of a carbon polymer is formed on a sidewall of the polysilicon film using plasma containing carbons, a metallic material as a lower film is etched using plasma containing a halogen gas under an etching condition in which volatility is improved due to the rise in a wafer temperature or the low pressure of a processing pressure, thereby preventing a side etching and unevenness of a sidewall of the polysilicon film. Further, by using the protective film made of a carbon polymer, metallic substances scattered at the time of etching the metallic material are not directly attached to the polysilicon film, but can be simply removed along with the protective film made of a carbon polymer in an asking step. | 11-11-2010 |
20100285670 | PLASMA PROCESSING APPARATUS INCLUDING ETCHING PROCESSING APPARATUS AND ASHING PROCESSING APPARATUS AND PLASMA PROCESSING METHOD USING PLASMA PROCESSING APPARATUS - A diameter of a mounting unit of the stage of an asking processing apparatus is less than a diameter of a mounting unit of the stage of an etching processing apparatus, and the diameter of the mounting unit of the stage of the etching processing apparatus is less than a diameter of an objective item. | 11-11-2010 |
20100291771 | Methods Of Forming Patterns On Substrates - Methods of forming a pattern on a substrate include forming carbon-comprising material over a base material, and spaced first features over the carbon-comprising material. Etching is conducted only partially into the carbon-comprising material and spaced second features are formed within the carbon-comprising material which comprise the partially etched carbon-comprising material. Spacers can be formed along sidewalls of the spaced second features. The carbon-comprising material can be etched through to the base material using the spacers as a mask. Spaced third features can be formed which comprise the anisotropically etched spacers and the carbon-comprising material. | 11-18-2010 |
20100297849 | PLASMA ETCHING METHOD FOR ETCHING AN OBJECT - The invention provides a plasma etching method capable of suppressing bowing of an opening of the object to be etched, and solving the lack of opening at a high aspect ratio portion in deep hole processing having a high aspect ratio. A plasma etching method for etching an object to be etched in a plasma etching apparatus using a mask patterned and formed on the object to be etched comprises sequentially performing a first step for etching the mask while attaching deposits on a side wall of an opening close to a surface of the mask pattern of the mask using fluorocarbon gas C | 11-25-2010 |
20100304570 | ETCHING METHOD AND METHOD FOR MANUFACTURING OPTICAL/ELECTRONIC DEVICE USING THE SAME - Disclosed is a semiconductor etching method whereby a semiconductor layer made of, for example, a Group III-V nitride semiconductor resistant to etching can be etched by a relatively easier process. This etching method comprises forming a metal-fluoride layer | 12-02-2010 |
20100304571 | FILM ADHESIVE FOR SEMICONDUCTOR VACUUM PROCESSING APPARATUS - A bonded assembly to reduce particle contamination in a semiconductor vacuum chamber such as a plasma processing apparatus is provided, including an elastomeric sheet adhesive bond between mating surfaces of a component and a support member to accommodate thermal stresses. The elastomeric sheet comprises a silicone adhesive to withstand a high shear strain of ≧800% at a temperature range between room temperature and 300° C. such as heat curable high molecular weight dimethyl silicone with optional fillers. The sheet form has bond thickness control for parallelism of bonded surfaces. The sheet adhesive may be cut into pre-form shapes to conform to regularly or irregularly shaped features, maximize surface contact area with mating parts, and can be installed into cavities. Installation can be manually, manually with installation tooling, or with automated machinery. Composite layers of sheet adhesive having different physical properties can be laminated or coplanar. | 12-02-2010 |
20100304572 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - An optimum application voltage for reducing deposits on a peripheral portion of a substrate as well as improving a process result in balance is effectively found without changing a height of a focus ring. A plasma processing apparatus includes a focus ring which includes a dielectric ring provided so as to surround a substrate mounting portion of a mounting table and a conductive ring provided on the dielectric ring; a voltage sensor configured to detect a floating voltage of the conductive ring; a DC power supply configured to apply a DC voltage to the conductive ring. An optimum voltage to be applied to the conductive ring is obtained based on a floating voltage actually detected from the conductive ring, and the optimum application voltage is adjusted based on a variation in the actually detected floating voltage for each plasma process. | 12-02-2010 |
20100311245 | SUBSTRATE PROCESSING METHOD - A substrate processing method performs a processing on a wafer W having an amorphous carbon film | 12-09-2010 |
20100311246 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A problem of a resist mask collapse due to a plasma process is solved. In a method of manufacturing a semiconductor device including steps of a plasma process to a sample having a mask made of an organic material, the plasma process includes a first step of a plasma process under a gas containing any of fluorine, oxygen, or nitrogen, or containing all of them, and a second step of the plasma process under a gas containing a rare gas without containing any of fluorine, oxygen, and nitrogen, and the first step and the second step are repeated. | 12-09-2010 |
20100323524 | Method of etching the back side of a wafer - To etch the back side of a wafer, the front side of the wafer is first coated with a positive photoresist to form a protective film. The surface of the protective film is hardened by heating, or by heating and ultraviolet curing. The wafer is then placed in a plasma etching apparatus with the hardened surface of the protective film in contact with an electrode of the etching apparatus, and the back side of the wafer is patterned by plasma etching. When the etching is completed, the front side of the wafer is separated from the electrode and the wafer is removed from the plasma etching apparatus. The hardened positive photoresist prevents the wafer from sticking to the electrode. | 12-23-2010 |
20100323525 | CD BIAS LOADING CONTROL WITH ARC LAYER OPEN - A method for etching a line pattern in an etch layer disposed below an antireflective coating (ARC) layer below a patterned mask is provided. The method includes opening the ARC layer, in which an ARC opening gas comprising CF3I, a fluorocarbon (including hydrofluorocarbon) containing gas, and an oxygen containing gas are provided, a plasma is formed from the ARC opening gas to open the ARC layer, and providing the ARC opening gas is stopped. Line pattern features are etched into the etch layer through the opened ARC layer. | 12-23-2010 |
20110021029 | PLASMA ETCH METHOD TO REDUCE MICRO-LOADING - A method of producing plurality of etched features in an electronic device is disclosed that avoids micro-loading problems thus maintaining more uniform sidewall profiles and more uniform critical dimensions. The method comprises performing a first time-divisional plasma etch process step within a plasma chamber to a first depth of the plurality of etched features, and performing a flash process step to remove any polymers from exposed surfaces of the plurality of etched features without requiring an oxidation step. The flash process step is performed independently of the time-divisional plasma etch step. A second time-divisional plasma etch process step is performed within the plasma chamber to a second depth of the plurality of etched features. The method may be repeated until a desired etch depth is reached. | 01-27-2011 |
20110039414 | PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - An upper electrode | 02-17-2011 |
20110053379 | PROFILE CONTROL IN DIELECTRIC ETCH - A method for etching a dielectric layer is provided. The dielectric layer is disposed over a substrate and below a patterned mask having a line-space pattern. The method includes (a) providing an etchant gas comprising CF4, COS, and an oxygen containing gas, (b) forming a plasma from the etchant gas, and (c) etching the dielectric layer into the line-space pattern through the mask with the plasma from the etchant gas. The gas flow rate of CF4 may have a ratio greater than 50% of a total gas flow rate of all reactive gas components. The gas flow rate of COS may be between 1% and 50%. The method reduces bowing in etching of the dielectric layer by adding COS to the etchant gas. | 03-03-2011 |
20110059615 | HYBRID RF CAPACITIVELY AND INDUCTIVELY COUPLED PLASMA SOURCE USING MULTIFREQUENCY RF POWERS AND METHODS OF USE THEREOF - A device for inductively confining capacitively coupled RF plasma formed in a plasma processing apparatus. The apparatus includes an upper electrode and a lower electrode that is adapted to support a substrate and to generate the plasma between the substrate and the upper electrode. The device includes a dielectric support ring that concentrically surrounds the upper electrode and a plurality of coil units mounted on the dielectric support ring. Each coil unit includes a ferromagnetic core positioned along a radial direction of the dielectric support ring and at least one coil wound around each ferromagnetic core. The coil units generate, upon receiving RF power from an RF power source, electric and magnetic fields that reduce the number of charged particles of the plasma diffusing away from the plasma. | 03-10-2011 |
20110070740 | CLAMPED MONOLITHIC SHOWERHEAD ELECTRODE - An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the showerhead electrode. | 03-24-2011 |
20110070741 | METHOD OF CLEANING PLASMA ETCHING APPARATUS - Method of cleaning a plasma etching apparatus capable of suppressing variation in line width among wafers in a single lot, and improving throughput in the cleaning process, includes steps of supplying a cleaning gas into a chamber of a plasma etching apparatus; igniting a plasma of the cleaning gas in the chamber; and allowing plasma cleaning to proceed in the chamber, by bringing the cleaning gas in plasma form into contact with a deposit adhered on the inner wall of the chamber so as to etch off the deposit, wherein in the step of plasma cleaning in the chamber, intensity of plasma emission ascribable to the deposit adhered on the inner wall of the chamber is detected in a time-dependent manner, and the plasma cleaning in the chamber is terminated based on changes in the intensity of the plasma emission. | 03-24-2011 |
20110076852 | CLEANING COMPOSITION, CLEANING PROCESS, AND PROCESS FOR PRODUCING SEMICONDUCTOR DEVICE - A cleaning composition for removing plasma etching residue and/or ashing residue formed above a semiconductor substrate is provided that includes (component a) water, (component b) a hydroxylamine and/or a salt thereof, (component c) a basic organic compound, and (component d) an organic acid and has a pH of 7 to 9. There are also provided a cleaning process and a process for producing semiconductor device employing the cleaning composition. | 03-31-2011 |
20110081783 | SHOWERHEAD ELECTRODE ASSEMBLIES FOR PLASMA PROCESSING APPARATUSES - Showerhead electrode assemblies are disclosed, which include a showerhead electrode adapted to be mounted in an interior of a vacuum chamber; an optional backing plate attached to the showerhead electrode; a thermal control plate attached to the backing plate or to the showerhead electrode at multiple contact points across the backing plate; and at least one thermally and electrically conductive gasket separating the backing plate and the thermal control plate, or the backing plate and showerhead electrode, at the contact points. Methods of processing semiconductor substrates using the showerhead electrode assemblies are also disclosed. | 04-07-2011 |
20110092072 | HEATING PLATE WITH PLANAR HEATING ZONES FOR SEMICONDUCTOR PROCESSING - A heating plate for a substrate support assembly in a semiconductor plasma processing apparatus, comprises multiple independently controllable planar heater zones arranged in a scalable multiplexing layout, and electronics to independently control and power the planar heater zones. A substrate support assembly in which the heating plate is incorporated includes an electrostatic clamping electrode and a temperature controlled base plate. Methods for manufacturing the heating plate include bonding together ceramic or polymer sheets having planar heater zones, power supply lines, power return lines and vias. | 04-21-2011 |
20110097900 | QUARTZ WINDOW FOR A DEGAS CHAMBER - A five-sided quartz window configured to be mounted on a degas chamber as a UV-transmissive window. The quartz window is made of synthetic quartz and has a uniform thickness. The shape of the quartz window is defined by an upper surface, a lower surface and a sidewall therebetween. The sidewall has five straight sections interconnected by five arcuate sections. The quartz window has four arcuate recesses extending into the sidewall. | 04-28-2011 |
20110097901 | DUAL MODE INDUCTIVELY COUPLED PLASMA REACTOR WITH ADJUSTABLE PHASE COIL ASSEMBLY - Embodiments of dual mode inductively coupled plasma reactors and methods of use of same are provided herein. In some embodiments, a dual mode inductively coupled plasma processing system may include a process chamber having a dielectric lid and a plasma source assembly disposed above the dielectric lid. The plasma source assembly includes a plurality of coils configured to inductively couple RF energy into the process chamber to form and maintain a plasma therein, a phase controller for adjusting the relative phase of the RF current applied to each coil in the plurality of coils, and an RF generator coupled to the phase controller and the plurality of coils. | 04-28-2011 |
20110097902 | METHOD AND APPARATUS OF HALOGEN REMOVAL - A wafer is provided into an entrance load lock chamber. A vacuum is created in the entrance load lock chamber. The wafer is transported to a processing tool. The wafer is processed in a process chamber to provide a processed wafer, wherein the processing forms halogen residue. A degas step is provided in the process chamber after processing the wafer. The processed wafer is transferred into a degas chamber. The processed wafer is treated in the degas chamber with UV light and a flow of gas comprising at least one of ozone, oxygen, or H | 04-28-2011 |
20110104902 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus includes a processing chamber including a dielectric window; a coil shaped RF antenna provided outside the dielectric window; a substrate supporting unit, provided in the processing chamber, for mounting thereon a target substrate to be processed; a processing gas supply unit for supplying a desired processing gas to the processing chamber to perform a desired plasma process on the target substrate; and an RF power supply unit for supplying an RF power to the RF antenna to generate a plasma of the processing gas by an inductive coupling in the processing chamber. The apparatus further includes a floating coil electrically floated and arranged at a position outside the processing chamber where the floating coil is to be coupled with the RF antenna by an electromagnetic induction; and a capacitor provided in a loop of the floating coil. | 05-05-2011 |
20110117747 | METHOD OF FABRICATING SINGLE CHIP FOR INTEGRATING FIELD-EFFECT TRANSISTOR INTO MEMS STRUCTURE - A method of fabricating a single chip for integrating a field-effect transistor into a microelectromechanical systems (MEMS) structure is provided. The method includes the steps of: providing a substrate having thereon at least one transistor structure, a MEMS structure and a blocking structure, wherein the blocking structure encircles the MEMS structure to separate the MEMS structure from the transistor structure; forming a masking layer for covering the transistor structure, the MEMS structure and the blocking structure; forming a patterned photoresist layer on the masking layer; performing a first etching process by using the patterned photoresist layer to remove the masking layer on the MEMS structure; and performing a second etching process by removing a portion of the MEMS structure to form a plurality of microstructures such that a relative motion among the microstructures takes place in a direction perpendicular to the substrate. | 05-19-2011 |
20110117748 | Localized Plasma Processing - A method of localized plasma processing improves processing speed and reduces work piece damage compared to charged particle beam deposition and etching. In one embodiment, a plasma jet exits a plasma generating chamber and activates a reactive gas. A jet of plasma and reactive gas impacts and processes the work piece. Because the plasma and the ions in the reactive gas can have low kinetic energy, there can be little or no surface damage. This is particularly useful for deposition processes. When it is desired to etch material, the reactive ions can be more energetic to enhance etching. | 05-19-2011 |
20110130007 | IN-SITU CLEAN TO REDUCE METAL RESIDUES AFTER ETCHING TITANIUM NITRIDE - Methods of processing substrates having titanium nitride layers are provided. In some embodiments, a method for processing a substrate having a dielectric layer to be etched, a titanium nitride layer above the dielectric layer, and a patterned photoresist layer above the titanium nitride layer, includes etching a pattern into the titanium nitride layer by exposing the titanium nitride layer to a first plasma comprising a chlorine containing gas to form a hard mask; removing titanium nitride etch residues disposed on one or more surfaces of the process chamber and/or substrate by forming a second plasma in the process chamber from a reactive gas comprising at least one of carbon monoxide or carbon dioxide; and etching the dielectric layer through the hard mask with a third plasma comprising a fluorocarbon gas. | 06-02-2011 |
20110136346 | Substantially Non-Oxidizing Plasma Treatment Devices and Processes - Non-oxidizing plasma treatment devices for treating a semiconductor workpiece generally include a substantially non-oxidizing gas source; a plasma generating component in fluid communication with the non-oxidizing gas source; a process chamber in fluid communication with the plasma generating component, and an exhaust conduit centrally located in a bottom wall of the process chamber. In one embodiment, the process chamber is formed of an aluminum alloy containing less than 0.15% copper by weight; In other embodiments, the process chamber includes a coating of a non-copper containing material to prevent formation of copper hydride during processing with substantially non-oxidizing plasma. In still other embodiments, the process chamber walls are configured to be heated during plasma processing. Also disclosed are non-oxidizing plasma processes. | 06-09-2011 |
20110143546 | ASHING METHOD AND ASHING DEVICE - An ashing device and ashing method that can positively remove resist from a wafer while preventing degradation of the film material properties of exposed porous Low-K film on the wafer. The ashing device of the present invention introduces a gas to a dielectric plasma generating chamber 14, excites said gas to generate a plasma, and performs plasma processing using said gas plasma on a processing work S in use of a Low-K film. The ashing gas introduced from a gas regulator 20 is an inert gas to which H | 06-16-2011 |
20110143547 | SOLUTION FOR REMOVAL OF RESIDUE AFTER SEMICONDUCTOR DRY PROCESS AND RESIDUE REMOVAL METHOD USING SAME - The present invention provides a chemical solution capable of completely removing the residues after a dry process in a short period of time, wherein the chemical solution causes less damage to low-k films than before, and prevents cracking and roughness of the Cu surface by inhibiting Cu corrosion so as to leave, rather than remove, a Cu thin film, which is formed on the Cu surface as a result of damage during a dry process. Specifically, the present invention relates to a residue-removing solution for removing residues after a dry process, comprising an amine salt of a monocarboxylic acid and/or a salt of a polycarboxylic acid that forms a 7- or more-membered ring chelate with copper, and water, the residue-removing solution comprising (A) or (B) described below:
| 06-16-2011 |
20110177694 | Switchable Neutral Beam Source - The invention can provide apparatus and methods of processing a substrate in real-time using a switchable quasi-neutral beam system to improve the etch resistance of photoresist layer. In addition, the improved photoresist layer can be used in an etch procedure to more accurately control gate and/or spacer critical dimensions (CDs), to control gate and/or spacer CD uniformity, and to eliminate line edge roughness (LER) and line width roughness (LWR). | 07-21-2011 |
20110177695 | SUBSTRATE PROCESSING METHOD AND STORAGE MEDIUM - A recovery process of a damaged layer and a reducing process of an oxide are performed on a substrate in which the oxide and the damaged layer from which carbon has been eliminated are formed on exposed surfaces of a Cu wiring and a SiCOH film as a low-k film, respectively. In the same processing chamber | 07-21-2011 |
20110212624 | SYSTEM, METHOD AND APPARATUS FOR PLASMA ETCH HAVING INDEPENDENT CONTROL OF ION GENERATION AND DISSOCIATION OF PROCESS GAS - A method of etching a semiconductor wafer including injecting a source gas mixture into a process chamber including injecting the source gas mixture into a multiple hollow cathode cavities in a top electrode of the process chamber and generating a plasma in each one of the hollow cathode cavities. Generating the plasma in the hollow cathode cavities includes applying a first biasing signal to the hollow cathode cavities. The generated plasma or activated species is output from corresponding outlets of each of the hollow cathode cavities into a wafer processing region in the process chamber. The wafer processing region is located between the outlets of the hollow cathode cavities and a surface to be etched. An etchant gas mixture is injected into the wafer processing region. A plasma can also be supported and/or generated in the wafer processing region. The etchant gas mixture is injected through multiple injection ports in the top electrode such that the etchant gas mixture mixes with the plasma output from the outlets of the hollow cathode cavities. The etchant gas mixture is substantially prevented from flowing into the outlets of the hollow cathode cavities by the plasma flowing from the outlets of hollow cathode cavities. Mixing the etchant gas mixture and the output from the hollow cathode cavities generates a desired chemical species in the wafer processing region and the surface to be etched can be etched. A system for generating an etching species is also describer herein. | 09-01-2011 |
20110244691 | ETCHING PROCESSING METHOD - An etching processing method for etching a substrate formed with a target film and a mask film is performed in a substrate processing apparatus including a first and a second power supply for respectively supplying a higher and a lower high frequency power to a processing space and a mounting table, and a DC power supply for supplying a DC power to an electrode. The method includes a modification step for modifying a shape of a pattern formed on the mask film; and an etching step for etching the target film by using the mask film. The mask film is etched by the plasma in the modification step. Further, in the etching step, the DC power is applied to the electrode and the lower high frequency power is applied to the mounting table in a pulse wave form in which a higher and a lower power level are repeated. | 10-06-2011 |
20110250758 | PLASMA PROCESSING METHOD OF SEMICONDUCTOR MANUFACTURING APPARATUS - Plasma processing methods of a semiconductor manufacturing apparatus which can minimize the amount of impurities adhered to the surface of a wafer, when a desired process using plasma is performed. According to the plasma processing methods of the semiconductor manufacturing apparatus, after the desired process is completed, the plasma generated over the wafer is diffused, and then the wafer is de-chucked. | 10-13-2011 |
20110250759 | Method to Reduce Charge Buildup During High Aspect Ratio Contact Etch - A method of high aspect ratio contact etching a substantially vertical contact hole in an oxide layer using a hard photoresist mask is described. The oxide layer is deposited on an underlying substrate. A plasma etching gas is formed from a carbon source gas. Dopants are mixed into the gas. The doped plasma etching gas etches a substantially vertical contact hole through the oxide layer by doping carbon chain polymers formed along the sidewalls of the contact holes during the etching process into a conductive state. The conductive state of the carbon chain polymers reduces the charge buildup along sidewalls to prevent twisting of the contact holes by bleeding off the charge and ensuring proper alignment with active area landing regions. The etching stops at the underlying substrate. | 10-13-2011 |
20110281436 | CLEANING COMPOSITION, METHOD FOR PRODUCING SEMICONDUCTOR DEVICE, AND CLEANING METHOD - Provided are a cleaning composition which is capable of inhibiting the metal of a semiconductor substrate from corrosion, and has an excellent removability of plasma etching residues and/or ashing residues on the semiconductor substrate, a method for producing a semiconductor device, and a cleaning method using the cleaning composition. The cleaning composition for removing plasma etching residues and/or ashing residues formed on a semiconductor substrate, and a preparation method and a cleaning method for a semiconductor device, using the cleaning composition, wherein the cleaning composition includes (Component a) water; (Component b) an amine compound; (Component c) hydroxylamine and/or a salt thereof; (Component d) a quaternary ammonium compound; (Component e) an organic acid; and (Component f) a water-soluble organic solvent; and has a pH of 6 to 9. | 11-17-2011 |
20110281437 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A semiconductor manufacturing apparatus includes a chamber, a gas supplier, a vacuum pump, an electrode, a conductive knitted wire mesh and a radio frequency power supply. The electrode is placed outside of the chamber and fixed to the chamber. The gas supplier supplies gas into the chamber. The vacuum pump exhausts the chamber. The radio frequency power supply supplies radio frequency power to the electrode through the conductive knitted wire mesh. | 11-17-2011 |
20110287631 | PLASMA PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A plasma processing apparatus and a method of manufacturing a semiconductor device which can prevent a discharge from occurring between a substrate such as a semiconductor wafer or the like, and a base material of a lower electrode or a peripheral structure of the base material, and can improve yield and productivity. The plasma processing apparatus includes a processing chamber, a lower electrode, an upper electrode, and a plurality of lifter pins for supporting a substrate to be processed. Each of the lifter pins includes a pin body part and a lid part which is disposed on a top portion of the pin body part and has an outer diameter greater than an outer diameter of the pin body part. The lower electrode includes through-holes for lifter pins each of which includes a pin body receiving part, which has an inner diameter less than the outer diameter of the lid part and receives the pin body part, and a lid receiving part, which is formed in an upper portion of the pin body receiving part and receives the cover portion, and in which the lifter pins are disposed. In a state where the lifter pins are lowered, the lid part is received in the lid receiving part, and the upper portion of the pin body receiving part is blocked by the lid part. | 11-24-2011 |
20110300714 | PLASMA PROCESSING CHAMBER COMPONENT HAVING ADAPTIVE THERMAL CONDUCTOR - An assembly comprises a component of a plasma process chamber, a thermal source and a polymer composite therebetween exhibiting a phase transition between a high-thermal conductivity phase and a low-thermal conductivity phase. The temperature-induced phase change polymer can be used to maintain the temperature of the component at a high or low temperature during multi-step plasma etching processes. | 12-08-2011 |
20120003836 | MOVABLE GROUND RING FOR A PLASMA PROCESSING CHAMBER - A movable ground ring of a movable substrate support assembly is described. The movable ground ring is configured to fit around and provide an RF return path to a fixed ground ring of the movable substrate support assembly in an adjustable gap capacitively-coupled plasma processing chamber wherein a semiconductor substrate supported in the substrate support assembly undergoes plasma processing. | 01-05-2012 |
20120003837 | Semiconductor Device Manufacturing Apparatus Capable Of Reducing Particle Contamination - A plasma processing method of subjecting a substance to plasma processing by using a semiconductor device manufacturing apparatus including a process chamber, a unit for supplying gas to the process chamber, an exhausting unit to reduce pressure in the process chamber, a high frequency power source for plasma generation, a coil for generating a magnetic field, and a mounted electrode for mounting the substance to be processed. The method includes steps of subjecting the substance to a predetermined plasma processing, changing the magnetic field distribution, so as to make a plasma distribution of the process chamber with respect to the surface of the substance to be processed, in a convex form, at a time of igniting the plasma and after completion of the predetermined plasma processing, as compared with a plasma distribution with respect to the surface of the substance to be processed during the predetermined plasma processing. | 01-05-2012 |
20120034786 | Plasma Processing Chamber with Dual Axial Gas Injection and Exhaust - An electrode is exposed to a plasma generation volume and is defined to transmit radiofrequency power to the plasma generation volume, and includes an upper surface for holding a substrate in exposure to the plasma generation volume. A gas distribution unit is disposed above the plasma generation volume and in a substantially parallel orientation to the electrode. The gas distribution unit includes an arrangement of gas supply ports for directing an input flow of a plasma process gas into the plasma generation volume in a direction substantially perpendicular to the upper surface of the electrode. The gas distribution unit also includes an arrangement of through-holes that each extend through the gas distribution unit to fluidly connect the plasma generation volume to an exhaust region. Each of the through-holes directs an exhaust flow from the plasma generation volume in a direction substantially perpendicular to the upper surface of the electrode. | 02-09-2012 |
20120045902 | SHOWERHEAD ELECTRODES AND SHOWERHEAD ELECTRODE ASSEMBLIES HAVING LOW-PARTICLE PERFORMANCE FOR SEMICONDUCTOR MATERIAL PROCESSING APPARATUSES - Showerhead electrodes for a semiconductor material processing apparatus are disclosed. An embodiment of the showerhead electrodes includes top and bottom electrodes bonded to each other. The top electrode includes one or more plenums. The bottom electrode includes a plasma-exposed bottom surface and a plurality of gas holes in fluid communication with the plenum. Showerhead electrode assemblies including a showerhead electrode flexibly suspended from a top plate are also disclosed. The showerhead electrode assemblies can be in fluid communication with temperature-control elements spatially separated from the showerhead electrode to control the showerhead electrode temperature. Methods of processing substrates in plasma processing chambers including the showerhead electrode assemblies are also disclosed. | 02-23-2012 |
20120064726 | PLASMA ETCHING APPARATUS, PLASMA ETCHING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - There is provided a plasma etching apparatus provided for performing an etching in a desirable shape. The plasma etching apparatus includes a processing chamber | 03-15-2012 |
20120070996 | POLAR REGIONS FOR ELECTROSTATIC DE-CHUCKING WITH LIFT PINS - An apparatus for electrostatic chucking and dechucking of a semiconductor wafer includes an electrostatic chuck with a number of zones. Each zone includes one or more polar regions around a lift pin that contacts a bottom surface of the semiconductor wafer. The apparatus also includes one or more controllers that control the lift pins and one or more controllers that control the polar regions. The controller for the lift pins receives data from one or more sensors and uses the data to adjust the upward force of the lift pins. Likewise, the controller for the polar regions receives data from the sensors and uses the data to adjust the voltage in the polar regions. | 03-22-2012 |
20120070997 | GAS SWITCHING SECTION INCLUDING VALVES HAVING DIFFERENT FLOW COEFFICIENT'S FOR GAS DISTRIBUTION SYSTEM - A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows. | 03-22-2012 |
20120094499 | METHOD OF PERFORMING AN IN SITU CHAMBER CLEAN - Methods of performing in situ chamber cleaning for etch chambers are described. | 04-19-2012 |
20120171871 | COMPOSITE SHOWERHEAD ELECTRODE ASSEMBLY FOR A PLASMA PROCESSING APPARATUS - A showerhead electrode for a plasma processing apparatus includes an interface gel between facing surfaces of an electrode plate and a backing plate. The interface gel maintains thermal conductivity during lateral displacements generated during temperature cycling due to mismatch in coefficients of thermal expansion. The interface gel comprises, for example, a silicone based composite filled with aluminum oxide microspheres. The interface gel can conform to irregularly shaped features and maximize surface contact area between mating surfaces. The interface gel can be pre-applied to a consumable upper electrode. | 07-05-2012 |
20120171872 | CLAMPED SHOWERHEAD ELECTRODE ASSEMBLY - An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which includes an inner electrode mechanically attached to a backing plate by a clamp ring and an outer electrode attached to the backing plate by a series of spaced apart cam locks. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release cam pins extending upward from the upper face of the outer electrode. To compensate for differential thermal expansion, the clamp ring can include expansion joins at spaced locations which allow the clamp ring to absorb thermal stresses. | 07-05-2012 |
20120190207 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus performs plasma process by using a hydrogen radical generated by plasma-exciting a process gas containing hydrogen on a substrate to be processed. A high-frequency antenna includes an antenna device that is configured to resonate at a half-wavelength of high-frequency power applied from the high-frequency power source by opening two ends of the antenna device and grounding a center point of the antenna device. A barrier wall member for separating a plasma generating chamber and a plasma processing chamber includes a plurality of plate-shaped members having a plurality of openings through which the hydrogen radical passes, formed of an insulating material through which UV light does not pass, and overlapping each other at a predetermined interval, wherein the openings of one plate-shaped member are provided not to overlap the openings of another plate-shaped member. | 07-26-2012 |
20120190208 | PLASMA TREATMENT DEVICE AND PLASMA TREATMENT METHOD - Uniformity of a plasma process on a surface of a substrate is to be improved. In a plasma processing apparatus that processes a substrate by generating plasma from a processing gas introduced in a processing container, a ratio between an introducing amount of the processing gas introduced to a center portion of the substrate received in the processing container and an introducing amount of the processing gas introduced to a peripheral portion of the substrate received in the processing container is changed during a plasma process. Accordingly, a variation in an etching rate or the like between the center portion and the peripheral portion of the substrate may be reduced. Therefore, uniformity of the plasma process on the surface of the substrate is improved. | 07-26-2012 |
20120214312 | Method of plasma etching and plasma chamber cleaning using F2 and COF2 - For the plasma assisted manufacture of semiconductors, photovoltaic cells, thin film transistor liquid crystal displays and micro-electromechanical systems, and for chamber cleaning, F | 08-23-2012 |
20120225561 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND COMPUTER-READABLE STORAGE MEDIUM - There is provided a semiconductor device manufacturing method for forming a step-shaped structure in a substrate by etching the substrate having thereon a multilayer film and a photoresist film on the multilayer film and serving as an etching mask. The multilayer film is formed by alternately layering a first film having a first permittivity and a second film having a second permittivity different from the first permittivity. The method includes a first process for plasma-etching the first film by using the photoresist film as a mask; a second process for exposing the photoresist film to hydrogen-containing plasma; a third process for trimming the photoresist film; and a fourth process for etching the second film by using the trimmed photoresist film and the plasma-etched first film as a mask. The step-shaped structure is formed in the multilayer film by repeatedly performing the first process to the fourth process in this sequence. | 09-06-2012 |
20120231631 | PLASMA GENERATING APPARATUS AND PLASMA ETCHING METHOD USING THE SAME - A plasma generating apparatus and a plasma etching method are provided. The apparatus includes a chamber, a barrier, a susceptor, and a Radio Frequency (RF) power. The chamber forms a reaction space isolated from the external. The barrier divides the chamber into an upper chamber and a lower chamber. The barrier has a plurality of through-holes through formed to communicate the upper chamber and the lower chamber. The susceptor is installed in the lower chamber. The RF power supplies a bias power to the susceptor. | 09-13-2012 |
20120244718 | SUBSTRATE PROCESSING METHOD AND STORAGE MEDIUM - Disclosed is a substrate processing method capable of preventing an etching rate from being deteriorated when a high aspect ratio hole or trench is formed on an oxide film. When a high aspect ratio hole or trench is formed on an oxide film by etching the oxide film formed on a wafer using a hard mask layer having an opening and made of silicon, the oxide film corresponding to the opening is etched using plasma generated from a processing gas containing a C | 09-27-2012 |
20120252219 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - Provided are a plasma processing apparatus with a radio-frequency power supply supplying temporally modulated intermittent radio-frequency power which can be controlled with high precision in a wide repetition frequency band, and a plasma processing method using the plasma processing apparatus. | 10-04-2012 |
20120252220 | SUBSTRATE PROCESSING APPARATUS, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, METHOD FOR PROCESSING SUBSTRATES - A substrate supporting member provided in a processing chamber for processing the substrate and configured to support the substrate, has on its upper surface, a protruding area that supports an edge side of the substrate from below; a recessed area provided inside of the protruding area so as not to be brought into contact with the substrate supported by the protruding area; and an auxiliary protruding area formed lower than the protruding area and provided in the recessed area, and has a flow passage that is communicated with inside of the recessed area, for escaping gas between the substrate and the substrate supporting member from the recessed area side. | 10-04-2012 |
20120270406 | CLEANING METHOD OF PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus in which a cleaning method is performed includes a plasma generating chamber, having a silicon-containing member, for generating therein plasma by exciting a processing gas; a plasma processing chamber communicating with the plasma generating chamber via a partition member; and a high frequency antenna, having a planar shape, provided at an outside of a dielectric window of the plasma generating chamber. The cleaning method includes exciting a hydrogen-containing processing gas into plasma in the plasma generating chamber, introducing hydrogen radicals in the plasma into the plasma processing chamber through the partition member, performing a plasma process on a processing target substrate by allowing the hydrogen radicals to act on the processing target substrate, unloading the processing target substrate, and removing silicon-based deposits generated in the plasma generating chamber by introducing a tetrafluoride (tetrafluoromethane) gas into the plasma generating chamber. | 10-25-2012 |
20120302070 | METHOD AND SYSTEM FOR PERFORMING PULSE-ETCHING IN A SEMICONDUCTOR DEVICE - A method for performing pulse-etching in a semiconductor device includes the steps of providing a semiconductor substrate, wherein a metal layer is disposed on the semiconductor substrate, and a hard mask layer is blanketed over the metal layer; introducing the semiconductor substrate into a processing container; introducing, into the processing container, etching gases in which a deposition-type gas composed of at least two of C, H, and F is added to etching gas selected from the group consisting of Cl | 11-29-2012 |
20120322270 | POWERED GRID FOR PLASMA CHAMBER - A plasma processing chamber and methods for operating the chamber are provided. An exemplary chamber includes an electrostatic chuck for receiving a substrate and a dielectric window connected to a top portion of the chamber. An inner side of dielectric window faces a plasma processing region that is above the electrostatic chuck and an outer side of the dielectric window is exterior to the plasma processing region. Inner and outer coils are disposed above the outer side of the dielectric window, and the inner and outer coils are connected to a first RF power source. A powered grid is disposed between the outer side of dielectric window and the inner and outer coils. The powered grid is connected to a second RF power source that is independent from the first RF power source. | 12-20-2012 |
20120329283 | MULTIPLE GAS PLASMA FORMING METHOD AND ICP SOURCE - Different gases are separately exposed to RF energy in different zones in inlets to a processing chamber. Plasma is activated in the gases in each of the zones separately and the activated gases are then introduced into the plasma processing chamber where they may undergo mutual interaction within a processing zone. Control of the active species distribution within the processing chamber is provided by control of the energizing of the gases in the separate inlet zones before they are combined in the processing zone. An ICP source energizes gas in each zone through an antenna having one or more conductors, each of which is coupled to a plurality of the zones. This allows gases to be brought together in their active states, rather than being combined and then activated, and allows the same or different parameters to be applied in different inlet zones. | 12-27-2012 |
20130012027 | Method for the supply of fluorine - Elemental fluorine is used as etching agent for the manufacture of electronic devices, especially semiconductor devices, micro-electromechanical devices, thin film transistors, flat panel displays and solar panels, and as chamber cleaning agent mainly for plasma-enhanced vapor deposition (PECVD) apparatus. For this purpose, fluorine often is produced on-site. The invention provides a process wherein the contamination of the elemental fluorine with gaseous impurities, such as air or moisture, is prevented by producing it on site and delivering it to the point of use under a pressure higher than ambient pressure. | 01-10-2013 |
20130017686 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus for processing an object to be processed using a plasma. The apparatus includes a processing chamber defining a processing cavity for containing an object to be processed and a process gas therein, a microwave radiating antenna having a microwave radiating surface for radiating a microwave in order to excite a plasma in the processing cavity, and a dielectric body provided so as to be opposed to the microwave radiating surface, in which the distance D between the microwave radiating surface and a surface of the dielectric body facing away from the microwave radiating surface, which is represented with the wavelength of the microwave being a distance unit, is determined to be in the range satisfying the inequality | 01-17-2013 |
20130023126 | Method for the manufacture of electronic devices with purified fluorine - Elemental fluorine is used as etching agent for the manufacture of electronic devices, especially semiconductor devices, micro-electromechanical devices, thin film transistors, flat panel displays and solar panels, and as chamber cleaning agent mainly for plasma-enhanced chemical vapor deposition (PECVD) apparatus. For this purpose, fluorine often is produced on-site. The invention provides a process for the manufacture of electronic devices wherein fluorine is produced on site and is purified from HF by a low temperature treatment. A pressure of between 1.5 and 20 Bars absolute is especially advantageous. | 01-24-2013 |
20130034967 | GASKET WITH POSITIONING FEATURE FOR CLAMPED MONOLITHIC SHOWERHEAD ELECTRODE - An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A thermally and electrically conductive gasket with projections thereon is compressed between the showerhead electrode and the backing plate at a location three to four inches from the center of the showerhead electrode. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode. | 02-07-2013 |
20130040465 | Etch-Back Method for Planarization at the Position-Near-Interface of an Interlayer Dielectric - The invention discloses an etch-back method for planarization at the position-near-interface of an interlayer dielectric (ILD), comprising: depositing or growing a thick layer of SiO | 02-14-2013 |
20130045604 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus which can adjust ion energy on a wafer to a value in a desired range to perform machining with high precision or processing stably for a long time is provided. To the plasma processing apparatus which processes a wafer mounted on a mounting surface of an upper portion of a stage using plasma formed in a processing chamber while supplying radio frequency power from a power supply to an electrode disposed in the stage a detector disposed on an outer circumferential side of the mounting surface of the stage to detect a differential component Vpp between the maximum value and the minimum value and a DC component Vdc from a value of a bias voltage formed thereabove and a controller to adjust an output of the radio frequency bias power to make a value of Vpp/2+|Vdc| constant based on an output from the detector are provided. | 02-21-2013 |
20130059447 | METHOD AND APPARATUS FOR REDUCTION OF VOLTAGE POTENTIAL SPIKE DURING DECHUCKING - Provided is a substrate dechucking system of a plasma processing chamber adapted to remove a substrate from an ESC with reduction in voltage potential spike during dechucking of the substrate. | 03-07-2013 |
20130072024 | APPARATUS FOR SPATIAL AND TEMPORAL CONTROL OF TEMPERATURE ON A SUBSTRATE - An apparatus for control of a temperature of a substrate has a temperature-controlled base, a heater, a metal plate, a layer of dielectric material. The heater is thermally coupled to an underside of the metal plate while being electrically insulated from the metal plate. A first layer of adhesive material bonds the metal plate and the heater to the top surface of the temperature controlled base. This adhesive layer is mechanically flexible, and possesses physical properties designed to balance the thermal energy of the heaters and an external process to provide a desired temperature pattern on the surface of the apparatus. A second layer of adhesive material bonds the layer of dielectric material to a top surface of the metal plate. This second adhesive layer possesses physical properties designed to transfer the desired temperature pattern to the surface of the apparatus. The layer of dielectric material forms an electrostatic clamping mechanism and supports the substrate. | 03-21-2013 |
20130084706 | Plasma-Tuning Rods in Surface Wave Antenna (SWA) Sources - The invention provides a plurality of Surface Wave Antenna (SWA) plasma sources. The SWA plasma sources can comprise one or more non-circular slot antennas, each having a plurality of plasma-tuning rods extending therethrough. Some of the plasma tuning rods can be configured to couple the electromagnetic (EM) energy from one or more of the non-circular slot antennas to the process space within the process chamber. The invention also provides SWA plasma sources that can comprise a plurality of resonant cavities, each having one or more plasma-tuning rods extending therefrom. Some of the plasma tuning rods can be configured to couple the EM energy from one or more of the resonant cavities to the process space within the process chamber. | 04-04-2013 |
20130084707 | DRY CLEANING METHOD FOR RECOVERING ETCH PROCESS CONDITION - A method of patterning a substrate is described. The method includes establishing a reference etch process condition for a plasma processing system. The method further includes transferring a mask pattern formed in a mask layer to one or more layers on a substrate using at least one plasma etching process in the plasma processing system to form a feature pattern in the one or more layers and, following the transferring, performing a multi-step dry cleaning process to substantially recover the reference etch condition. Furthermore, the multi-step dry cleaning process includes performing a first dry cleaning process step using plasma formed from a first dry clean process composition containing an oxygen-containing gas, and performing a second dry cleaning process step using plasma formed from a second dry clean process composition containing a halogen-containing gas. | 04-04-2013 |
20130102155 | ICP SOURCE DESIGN FOR PLASMA UNIFORMITY AND EFFICIENCY ENHANCEMENT - An ICP A plasma reactor having an enclosure wherein at least part of the ceiling forms a dielectric window. A substrate support is positioned within the enclosure below the dielectric window. An RF power applicator is positioned above the dielectric window to radiate RF power through the dielectric window and into the enclosure. A plurality of gas injectors are distributed uniformly above the substrate support to supply processing gas into the enclosure. A circular baffle is situated inside the enclosure and positioned above the substrate support but below the plurality of gas injectors so as to redirect flow of the processing gas. | 04-25-2013 |
20130102156 | COMPONENTS OF PLASMA PROCESSING CHAMBERS HAVING TEXTURED PLASMA RESISTANT COATINGS - A component of a plasma processing chamber includes a three dimensional body having a highly dense plasma resistant coating thereon wherein a plasma exposed surface of the coating has a texture which inhibits particle generation from film buildup on the plasma exposed surface. The component can be a window of an inductively coupled plasma reactor wherein the window includes a textured yttria coating. The texture can be provided by contacting the plasma exposed surface with a polishing pad having a grit size effective to provide intersecting scratches with a depth of 1 to 2 microns. | 04-25-2013 |
20130109187 | POST ETCH TREATMENT (PET) OF A LOW-K DIELECTRIC FILM | 05-02-2013 |
20130109188 | PLASMA ETCH PROCESSES FOR BORON-DOPED CARBONACEOUS MASK LAYERS | 05-02-2013 |
20130109189 | SYSTEM ARCHITECTURE FOR PLASMA PROCESSING SOLAR WAFERS | 05-02-2013 |
20130115780 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus has a circular chamber having an opening portion which serves as a plasma ejection port surrounded by a dielectric member, a gas supply pipe for introducing gas into the inside of the chamber, a coil provided in the vicinity of the chamber, a high-frequency power supply connected to the coil, and a base material mounting table. | 05-09-2013 |
20130137273 | Semiconductor Processing System - The semiconductor processing system includes a reactor chamber that has an upper wall and a lower wall. A hold member is disposed in the reactor chamber to hold a semiconductor substrate in such a way that it faces the lower wall of the reactor chamber. | 05-30-2013 |
20130143411 | SYSTEMS AND METHODS FOR IMPROVING FRONT-SIDE PROCESS UNIFORMITY BY BACK-SIDE METALLIZATION - Disclosed are systems and methods for improving front-side process uniformity by back-side metallization. In some implementations, a metal layer can be formed on the back side of a semiconductor wafer prior to certain process steps such as plasma-based processes. Presence of such a back-side metal layer reduces variations in, for example, thickness of a deposited and/or etched layer resulting from the plasma-based processes. Such reduction in thickness variations can result from reduced variation in radio-frequency (RF) coupling during the plasma-based processes. Various examples of wafer types, back-side metal layer configurations, and plasma-based processes are disclosed. | 06-06-2013 |
20130149868 | Masking Method and Apparatus - A chamber for combinatorially processing a substrate is provided. The chamber includes a first mask and a second mask that share a common central axis. The first mask and the second mask are independently rotatable around the common central axis. The first mask has a first plurality of radial apertures and the second mask has a second plurality of radial apertures. An axis of the first plurality of radial apertures is offset from an axis of the second plurality of radial apertures. A substrate support that is operable to support a substrate below the first and second masks is included. The substrate support shares the common central axis. | 06-13-2013 |
20130189847 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus is provided with a replacement time detecting unit, which detects the status of residual charges which attract a semiconductor wafer and detects a time when an electrostatic chuck is to be replaced, at a time when a direct voltage application from a direct current source is stopped and the semiconductor wafer is brought up from the electrostatic chuck. | 07-25-2013 |
20130189848 | SHIELDED LID HEATER ASSEMBLY - A shielded lid heater lid heater suitable for use with a plasma processing chamber, a plasma processing chamber having a shielded lid heater and a method for plasma processing are provided. The method and apparatus enhances positional control of plasma location within a plasma processing chamber, and may be utilized in etch, deposition, implant, and thermal processing systems, among other applications where the control of plasma location is desirable. In one embodiment, a shielded lid heater is provided that includes an aluminum base and RF shield sandwiching a heater element. | 07-25-2013 |
20130196510 | SLOTTED ELECTROSTATIC SHIELD MODIFICATION FOR IMPROVED ETCH AND CVD PROCESS UNIFORMITY - A more uniform plasma process is implemented for treating a treatment object using an inductively coupled plasma source which produces an asymmetric plasma density pattern at the treatment surface using a slotted electrostatic shield having uniformly spaced-apart slots. The slotted electrostatic shield is modified in a way which compensates for the asymmetric plasma density pattern to provide a modified plasma density pattern at the treatment surface. A more uniform radial plasma process is described in which an electrostatic shield arrangement is configured to replace a given electrostatic shield in a way which provides for producing a modified radial variation characteristic across the treatment surface. The inductively coupled plasma source defines an axis of symmetry and the electrostatic shield arrangement is configured to include a shape that extends through a range of radii relative to the axis of symmetry. | 08-01-2013 |
20130203258 | VARIABLE CAPACITANCE CHAMBER COMPONENT INCORPORATING FERROELECTRIC MATERIALS AND METHODS OF MANUFACTURING AND USING THEREOF - A replaceable chamber element for use in a plasma processing system, such as a plasma etching system, is described. The replaceable chamber element includes a chamber component configured to be exposed to plasma in a plasma processing system, wherein the chamber component is fabricated of a ferroelectric material. | 08-08-2013 |
20130203259 | PRESSURE CONTROL VALVE ASSEMBLY OF PLASMA PROCESSING CHAMBER AND RAPID ALTERNATING PROCESS - A pressure control valve assembly of a plasma processing chamber in which semiconductor substrates are processed includes a housing having an inlet, an outlet and a conduit extending between the inlet and the outlet, the inlet adapted to be connected to an interior of the plasma processing chamber and the outlet adapted to be connected to a vacuum pump which maintains the plasma processing chamber at desired pressure set points during rapid alternating phases of processing a semiconductor substrate in the chamber. A drive mechanism attached to first and second valve plates effects rotation of the first and second valve plates to switch the valve plates between first and second angular orientations to change the degree of alignment of first and second open areas of the valve plates and thereby increase or decrease conductance to achieve desired pressure settings in the chamber. | 08-08-2013 |
20130260567 | MULTI-RADIOFREQUENCY IMPEDANCE CONTROL FOR PLASMA UNIFORMITY TUNING - Circuits, methods, chambers, systems, and computer programs are presented for processing wafers. A wafer processing apparatus includes top and bottom electrodes inside a processing chamber; a first, second, third, and fourth radio frequency (RF) power sources; and one or more resonant circuits. The first, second, and third RF power sources are coupled to the bottom electrode. The top electrode may be coupled to the fourth RF power source, to electrical ground, or to the one or more resonant circuits. Each of the one or more resonant circuits, which are coupled between the top electrode and electrical ground, include a tune-in element operable to vary a frequency-dependent impedance presented by the resonant circuit. The wafer processing apparatus is configurable to select the RF power sources for wafer processing operations, as well as the connections to the top electrode in order to provide plasma and etching uniformity for the wafer. | 10-03-2013 |
20130267096 | Systems for and methods of laser-enhanced plasma processing of semiconductor materials - Systems for and methods of laser-enhanced plasma processing of semiconductor materials are disclosed. The method includes supporting a semiconductor material in a processing chamber interior and subjecting the semiconductor material to a plasma process. The method also includes simultaneously heating the wafer surface with a laser beam through a window in the processing chamber to increase the reaction rate of the plasma process. Other methods include performing laser heating of the semiconductor material before or after the plasma process but while the semiconductor material resides in the same chamber interior. | 10-10-2013 |
20130267097 | METHOD AND APPARATUS FOR FORMING FEATURES WITH PLASMA PRE-ETCH TREATMENT ON PHOTORESIST - A method for forming features through a photoresist mask into an underlying layer is provided. The photoresist mask has patterned mask features. The photoresist mask has patterned mask features. A treatment gas containing H | 10-10-2013 |
20130267098 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus is offered which has evacuable vacuum vessel, processing chamber disposed inside the vacuum vessel and having inside space in which plasma for processing sample to be processed is generated and in which the sample is placed, unit for supplying gas for plasma generation into processing chamber, vacuum evacuation unit for evacuating inside of processing chamber, helical resonator configured of helical resonance coil disposed outside the vacuum vessel and electrically grounded shield disposed outside the coil, RF power supply of variable frequency for supplying RF electric power in given range to the resonance coil, and frequency matching device capable of adjusting frequency of the RF power supply so as to minimize reflected RF power. The resonance coil has electrical length that is set to integral multiple of one wavelength at given frequency. The helical resonance coil has feeding point connected to ground potential using variable capacitive device. | 10-10-2013 |
20130280914 | COMPOSITE SHOWERHEAD ELECTRODE ASSEMBLY FOR A PLASMA PROCESSING APPARATUS - A showerhead electrode for a plasma processing apparatus includes an interface gel between facing surfaces of an electrode plate and a backing plate. The interface gel maintains thermal conductivity during lateral displacements generated during temperature cycling due to mismatch in coefficients of thermal expansion. The interface gel comprises, for example, a silicone based composite filled with aluminum oxide microspheres. The interface gel can conform to irregularly shaped features and maximize surface contact area between mating surfaces. The interface gel can be pre-applied to a consumable upper electrode. | 10-24-2013 |
20130288483 | METHODS AND APPARATUS FOR CONTROLLING SUBSTRATE UNIFORMITY - A dynamically tunable process kit, a processing chamber having a dynamically tunable process kit, and a method for processing a substrate using a dynamically tunable process kit are provided. The dynamically tunable process kit allows one or both of the electrical and thermal state of the process kit to be changed without changing the phyisical construction of the process kit, thereby allowing plasma properties, and hence processing results, to be easily changed without replacing the process kit. The processing chamber having a dynamically tunable process kit includes a chamber body that includes a portion of a conductive side wall configured to be electrically controlled, and a process kit. The processing chamber includes a first control system operable to control one or both of an electrical and thermal state of the process kit and a second control system operable to control an electrical state of the portion of the side wall. | 10-31-2013 |
20130323932 | LAYER-LAYER ETCH OF NON VOLATILE MATERIALS USING PLASMA - A method for etching a metal layer, comprising plurality of cycles is provided. In each cycle, an etch gas comprising PF | 12-05-2013 |
20130323933 | Methods for Forming Microlenses - Methods for forming microlenses on a semiconductor substrate are provided. An inductively coupled plasma etch process using a process gas that includes a mixture of CF | 12-05-2013 |
20130330929 | SEAL MEMBER, ETCHING APPARATUS, AND A METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - Provided is a seal member according to embodiments. The seal member is disposed between an upper electrode and a backing plate in an etching apparatus to seal a gap between the upper electrode and the backing plate. In addition, the seal member is configured to include a high heat conductivity member having a heat conductivity higher than that of a first member formed by using siloxane bond and a low resistance member having a resistivity lower than that of the first member. | 12-12-2013 |
20140004706 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 01-02-2014 |
20140017900 | PLASMA ETCHING APPARATUS AND PLASMA ETCHING METHOD - A plasma etching apparatus performs plasma etching on a substrate having a resist pattern formed thereon and an outer edge portion where the substrate surface is exposed. The plasma etching apparatus includes a support part that supports the substrate, a cover member that covers the outer edge portion of the substrate and prevents plasma from coming around the outer edge portion, and a control unit that generates plasma by controlling high frequency power application and supply of a processing gas for etching, and uses the generated plasma to etch the substrate that is supported by the support part and has the outer edge portion covered by the cover member. After etching the substrate, the control unit generates plasma by controlling high frequency power application and supply of a processing gas for ashing, and uses the generated plasma to perform ashing on the resist pattern on the etched substrate. | 01-16-2014 |
20140024220 | METHOD OF FABRICATING AN ULTRA LOW-K DIELECTRIC SELF-ALIGNED VIA - Methods of fabricating ultra low-k dielectric self-aligned vias are described. In an example, a method of forming a self-aligned via (SAV) in a low-k dielectric film includes forming a trench pattern in a metal nitride hardmask layer formed above a low-k dielectric film formed above a substrate. A via pattern is formed in a masking layer formed above the metal nitride hardmask layer. The via pattern is etched at least partially into the low-k dielectric film, the etching comprising using a plasma etch using a chemistry based on CF | 01-23-2014 |
20140045337 | HEATING PLATE WITH PLANAR HEATER ZONES FOR SEMICONDUCTOR PROCESSING - An exemplary method is directed to powering heaters in a substrate support assembly on which a semiconductor substrate is supported. The support assembly has an array of heaters powered by two or more power supply lines and two or more power return lines wherein each power supply line is connected to a power supply and at least two of the heaters and each power return line is connected to at least two of the heaters, and a switching device which independently connects each one of the heaters to one of the power supply lines and one of the power return lines so as to provide time-averaged power to each of the heaters by time divisional multiplexing of switches of the switching device. The method includes supplying power to each of the heaters sequentially using a time-domain multiplexing scheme. | 02-13-2014 |
20140051253 | PLASMA BAFFLE RING FOR A PLASMA PROCESSING APPARATUS AND METHOD OF USE - A plasma processing apparatus includes a baffle ring which separates an internal space of a vacuum chamber into a plasma space and an exhaust space. Plasma is generated in the plasma space by exciting a process gas using an energy source. The process gas is then exhausted out of the plasma space through the plasma baffle ring which surrounds an outer periphery of a substrate support. The plasma baffle ring comprises an inner support ring, an outer support ring, and vertically spaced apart circumferentially overlapping rectangular blades extending between the inner ring and the outer ring. Each blade has a major surface used to block a line of sight from the plasma space to the exhaust space, wherein the major surfaces of the blades are configured to capture nonvolatile by-products, such as plasma etch by-products, before the by-products evacuate the plasma space. | 02-20-2014 |
20140051254 | MOVABLE CHAMBER LINER PLASMA CONFINEMENT SCREEN COMBINATION FOR PLASMA PROCESSING APPARATUSES - A movable symmetric chamber liner in a plasma reaction chamber, for protecting the plasma reaction chamber, enhancing the plasma density and uniformity, and reducing process gas consumption, comprising a cylindrical wall, a bottom wall with a plurality of openings, a raised inner rim with an embedded heater, heater contacts, and RF ground return contacts. The chamber liner is moved by actuators between an upper position at which substrates can be transferred into and out of the chamber, and a lower position at which substrate are processed in the chamber. The actuators also provide electrical connection to the heater and RF ground return contacts. | 02-20-2014 |
20140057445 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - The present invention provides a plasma processing apparatus having a radio frequency power supply supplying time-modulated radio frequency power which is controllable widely with high precision, and a plasma processing method using the plasma processing apparatus. The plasma processing apparatus includes: a vacuum chamber; a first radio frequency power supply for generating plasma in the vacuum chamber; a sample holder disposed in the vacuum chamber, on which a sample is placed; and a second radio frequency power supply supplying radio frequency power to the sample holder, wherein at least one of the first radio frequency power supply and the second radio frequency power supply supplies time-modulated radio frequency power, one of parameters of controlling the time-modulation has two or more different control ranges, and one of the control ranges is a control range for a high-precision control. | 02-27-2014 |
20140057446 | METHOD OF SILICON ETCH FOR TRENCH SIDEWALL SMOOTHING - Methods of silicon etch for trench sidewall smoothing are described. In one embodiment, a method involves smoothing a sidewall of a trench formed in a semiconductor wafer via plasma etching. The method includes directionally etching the semiconductor wafer with plasma generated from a fluorine gas to smooth the sidewall of the trench, the trench having a protective layer formed by plasma generated by a second process gas such as oxygen or a polymerization gas. In another embodiment, a method involves etching a semiconductor wafer to generate a trench having a smooth sidewall. The method includes plasma etching the semiconductor wafer with one or more first process gases including a fluorine gas, simultaneously performing deposition and plasma etching the semiconductor wafer with one or more second process gases including a fluorine gas and a polymerization gas mix, and performing deposition with one or more third process gases including a polymerization gas. | 02-27-2014 |
20140065835 | PROTECTIVE COATING FOR A PLASMA PROCESSING CHAMBER PART AND A METHOD OF USE - A flexible polymer or elastomer coated RF return strap to be used in a plasma chamber to protect the RF strap from plasma generated radicals such as fluorine and oxygen radicals, and a method of processing a semiconductor substrate with reduced particle contamination in a plasma processing apparatus. The coated RF strap minimizes particle generation and exhibits lower erosion rates than an uncoated base component. Such a coated member having a flexible coating on a conductive flexible base component provides an RF ground return configured to allow movement of one or more electrodes in an adjustable gap capacitively coupled plasma reactor chamber. | 03-06-2014 |
20140087564 | PLASAMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - Provided is a plasma processing apparatus, which includes a table unit installed within a processing vessel and configured to place a substrate thereon, a purge gas supply unit configured to supply a process gas into the processing vessel, a plasma generating unit configured to turn the process gas to plasma, a magnetic field forming mechanism installed at a lateral side of the table unit and configured to form magnetic fields in a processing atmosphere in order to move electrons existing in the plasma of the process gas along a surface of the substrate; and an exhaust mechanism configured to exhaust gas from the interior of the processing vessel. The magnetic fields are opened at at-least one point in a peripheral edge portion of the substrate such that a loop of magnetic flux lines surrounding the peripheral edge portion of the substrate is not formed. | 03-27-2014 |
20140099794 | RADICAL CHEMISTRY MODULATION AND CONTROL USING MULTIPLE FLOW PATHWAYS - Systems and methods are described relating to semiconductor processing chambers. An exemplary chamber may include a first remote plasma system fluidly coupled with a first access of the chamber, and a second remote plasma system fluidly coupled with a second access of the chamber. The system may also include a gas distribution assembly in the chamber that may be configured to deliver both the first and second precursors into a processing region of the chamber, while maintaining the first and second precursors fluidly isolated from one another until they are delivered into the processing region of the chamber. | 04-10-2014 |
20140106571 | BIASING SYSTEM FOR A PLASMA PROCESSING APPARATUS - A plasma processing apparatus includes a process chamber housing defining a process chamber, a platen positioned in the process chamber for supporting a workpiece, a source configured to generate plasma in the process chamber, and a biasing system. The biasing system is configured to bias the platen to attract ions from the plasma towards the workpiece during a first processing time interval and configured to bias the platen to repel ions from the platen towards interior surfaces of the process chamber housing during a cleaning time interval. The cleaning time interval is separate from the first processing time interval and occurring after the first processing time interval. | 04-17-2014 |
20140113453 | TUNGSTEN CARBIDE COATED METAL COMPONENT OF A PLASMA REACTOR CHAMBER AND METHOD OF COATING - A tungsten carbide coated chamber component of semiconductor processing equipment includes a metal surface, optional intermediate nickel coating, and outer tungsten carbide coating. The component is manufactured by optionally depositing a nickel coating on a metal surface of the component and depositing a tungsten carbide coating on the metal surface or nickel coating to form an outermost surface. | 04-24-2014 |
20140113454 | LOW PROFILE MAGNETIC FILTER - A plasma processing apparatus includes a processing chamber having a plasma processing space therein and a substrate support in the processing chamber at a first end for supporting a substrate. A plasma source is coupled into the processing space and configured to form a plasma at a second end of the processing chamber opposite said first end. The apparatus further includes a magnetic grid having an intensity of a magnetic flux therein, a plurality of passageways penetrating from a first side to a second side, a thickness, a transparency, a passageway aspect ratio, and a position within the processing chamber between the second end and the substrate. The intensity, the thickness, the transparency, the passageway aspect ratio, and the position are configured to cause electrons having energies above an acceptable maximum level to divert from the direction. A method of obtaining low average electron energy flux onto the substrate is also provided. | 04-24-2014 |
20140120731 | ICP SOURCE DESIGN FOR PLASMA UNIFORMITY AND EFFICIENCY ENHANCEMENT - An ICP A plasma reactor having an enclosure wherein at least part of the ceiling forms a dielectric window. A substrate support is positioned within the enclosure below the dielectric window. An RF power applicator is positioned above the dielectric window to radiate RF power through the dielectric window and into the enclosure. A plurality of gas injectors are distributed uniformly above the substrate support to supply processing gas into the enclosure. A circular baffle is situated inside the enclosure and positioned above the substrate support but below the plraity of gas injectors so as to redirect the flow of the processing gas. | 05-01-2014 |
20140127911 | PALLADIUM PLATED ALUMINUM COMPONENT OF A PLASMA PROCESSING CHAMBER AND METHOD OF MANUFACTURE THEREOF - A palladium plated aluminum component of a semiconductor plasma processing chamber comprises a substrate including at least an aluminum or aluminum alloy surface, and a palladium plating on the aluminum or aluminum alloy surface of the substrate. The palladium plating comprises an exposed surface of the component and/or a mating surface of the component. | 05-08-2014 |
20140148013 | ACTIVELY HEATED ALUMINUM BAFFLE COMPONENT HAVING IMPROVED PARTICLE PERFORMANCE AND METHODS OF USE AND MANUFACTURE THEREOF - An actively heated aluminum baffle component such as a thermal control plate or baffle ring of a showerhead electrode assembly of a plasma processing chamber has an exposed outer aluminum oxide layer which is formed by an electropolishing procedure. The exposed outer aluminum oxide layer minimizes defects and particles generated as a result of differential thermal stresses experienced by the aluminum component and outer aluminum oxide layer during plasma processing compared to an identically shaped component having a Type III anodized surface. | 05-29-2014 |
20140170856 | METHOD AND SYSTEM FOR ETCHING PLURAL LAYERS ON A WORKPIECE INCLUDING A LOWER LAYER CONTAINING AN ADVANCED MEMORY MATERIAL - Etching of a thin film stack including a lower thin film layer containing an advanced memory material is carried out in an inductively coupled plasma reactor having a dielectric RF window without exposing the lower thin film layer, and then the etch process is completed in a toroidal source plasma reactor. | 06-19-2014 |
20140179108 | Wafer Edge Protection and Efficiency Using Inert Gas and Ring - Embodiments of the invention generally relate to an apparatus and method for plasma etching. In one embodiment, the apparatus includes a process ring with an annular step away from an inner wall of the ring and is disposed on a substrate support in a plasma process chamber. A gap is formed between the process ring and a substrate placed on the substrate support. The annular step has an inside surface having a height ranging from about 3 mm to about 6 mm. During operation, an edge-exclusion gas is introduced to flow through the gap and along the inside surface, so the plasma is blocked from entering the space near the edge of the substrate. | 06-26-2014 |
20140193977 | PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS - A plasma etching apparatus includes a processing chamber; a holding unit for holding the substrate within the processing chamber; an electrode plate facing the holding unit; a plurality of supply parts arranged at different radial positions with respect to the substrate for supplying processing gas to a space between the holding unit and the electrode plate; a high frequency power supply that supplies high frequency power to the holding unit and/or the electrode plate to convert the processing gas supplied to the space into plasma; an adjustment unit that adjusts a supply condition for each of the supply parts; and a control unit that controls the adjustment unit to vary the supply condition between a position where an effect of diffusion of processing gas on an active species concentration distribution at the substrate is dominant and a position where an effect of flow of the processing gas is dominant. | 07-10-2014 |
20140213061 | DUCTILE MODE DRILLING METHODS FOR BRITTLE COMPONENTS OF PLASMA PROCESSING APPARATUSES - A method of drilling holes comprises ductile mode drilling the holes in a component of a plasma processing apparatus with a cutting tool wherein the component is made of a nonmetallic hard and brittle material. The method comprises drilling each hole in the component by controlling a depth of cut while drilling such that a portion of the brittle material undergoes high pressure phase transformation and forms amorphous portions of the brittle material during chip formation. The amorphous portions of the brittle material are removed from each hole such that a wall of each hole formed in the component has an as drilled surface roughness (Ra) of about 0.2 to 0.8 μm. | 07-31-2014 |
20140220784 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus having a dielectric member that surrounds a circular chamber having a long shape and communicating with an opening portion having a long and linear shape, a gas supply pipe for introducing gas into an inside of the circular chamber, a coil provided in a vicinity of the circular chamber and having a long shape in parallel with a longitudinal direction of the opening portion, a high-frequency power supply connected to the coil, a base material mounting table that mounts a base material, and a moving mechanism that allows relative movement between the circular chamber and the base material mounting table in a perpendicular direction with respect to an longitudinal direction of the opening portion. | 08-07-2014 |
20140235061 | DUCTILE MODE MACHINING METHODS FOR HARD AND BRITTLE COMPONENTS OF PLASMA PROCESSING APPARATUSES - A method of ductile mode machining a component of a plasma processing apparatus wherein the component is made of nonmetallic hard and brittle material wherein the method comprises single point turning the component with a diamond cutting tool causing a portion of the nonmetallic hard and brittle material to undergo a high pressure phase transformation to form a ductile phase portion of the hard and brittle material during chip formation wherein a turned surface is formed from a phase changed material and the turned surface is a grooved textured surface of phase changed material. | 08-21-2014 |
20140242801 | MULTI-LEVEL AUTOLIMITATING ETCHING METHOD - A method for producing patterns includes inclined flanks from a face of a substrate. A protective mask is formed covering at least two masked areas of the face of the substrate and defining at least one intermediate space. An inclined flank is plasma etched from each masked area, wherein the etching forms continuous passivation layer on the inclined flanks producing autolimitation of the etching when the inclined flanks join each other. The etching is carried out in a chamber and includes the introduction into the chamber of a gas additional to the plasma. The additional gas includes molecules of a chemical species participating in the formation of the passivation layer, the quantity of gas in the chamber being controlled so that the chamber contains a quantity of molecules of the species sufficient to form the passivation layer continuously. | 08-28-2014 |
20140248779 | LINE WIDTH ROUGHNESS IMPROVEMENT WITH NOBLE GAS PLASMA - A method for forming lines in an etch layer on a substrate may comprise providing a ultra-violet (UV) producing gas to a vacuum chamber having a photoresist mask, ionizing the UV producing gas to produce UV rays to irradiate the photoresist mask, and etching the lines into the etch layer through the photoresist mask. | 09-04-2014 |
20140256147 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - Advantages of a conventional upper electrode DC power applying manner can be maintained and disadvantages of the upper electrode DC power applying manner can be removed. In a capacitively coupled plasma processing apparatus, a first high frequency power RF | 09-11-2014 |
20140273483 | METHODS FOR PROCESSING A SUBSTRATE USING A SELECTIVELY GROUNDED AND MOVABLE PROCESS KIT RING - Methods for processing a substrate are provided herein. In some embodiments, a method for processing a substrate may include placing a substrate atop a substrate support disposed beneath a processing volume of a process chamber having a grounded shield surrounding the process volume and a conductive cover ring selectably supportable by the grounded shield; positioning the substrate support in a first position such that the substrate support is not in contact with the conductive cover ring and such that a conductive member electrically coupled to the cover ring contacts the grounded shield to electrically couple the cover ring to the grounded shield; and performing a plasma enhanced etch process on the substrate. | 09-18-2014 |
20140273484 | INDUCTIVELY COUPLED PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD USING THE SAME - An inductively coupled plasma processing apparatus includes a chamber configured to provide a space for processing a substrate and including a window formed in an upper portion thereof, a substrate stage configured to support the substrate within the chamber and including a lower electrode, the lower electrode configured to receive a first radio frequency signal, an upper electrode arranged on the upper portion of the chamber with the window interposed between the upper electrode and the space for processing the substrate, the upper electrode configured to receive a second radio frequency signal, a conductive shield member arranged within the chamber and configured to cover the window, and a shield power supply configured to apply a shield signal to the shield member in synchronization with the second radio frequency signal. | 09-18-2014 |
20140273485 | ELECTRIC PRESSURE SYSTEMS FOR CONTROL OF PLASMA PROPERTIES AND UNIFORMITY - This disclosure relates to a plasma processing system for controlling plasma density near the edge or perimeter of a substrate that is being processed. The plasma processing system may include a plasma chamber that can receive and process the substrate using plasma for etching the substrate, doping the substrate, or depositing a film on the substrate. This disclosure relates to a plasma processing system for controlling plasma density near the edge or perimeter of a substrate that is being processed. In one embodiment, the plasma density may be controlled by reducing the rate of loss of ions to the chamber wall during processing. This may include biasing a dual electrode ring assembly in the plasma chamber to alter the potential difference between the chamber wall region and the bulk plasma region. | 09-18-2014 |
20140273486 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device including a wafer using a plasma etching device which includes a chamber, a chuck provided in the chamber to dispose a wafer to be processed thereon, a focus ring disposed at a peripheral edge portion of the chuck, and a gas supplying mechanism configured to supply various types of gases depending a radial position of the wafer. The method includes: placing a wafer formed with an organic film on the chuck; introducing an etching gas which etches the organic film on the wafer from the process gas supplying mechanism to a central portion of the wafer; introducing an etching inhibiting factor gas having a property of reacting with the etching gas to the peripheral edge portion of the wafer from the gas supplying mechanism; and performing plasma etching on the wafer using the etching gas. | 09-18-2014 |
20140295670 | DENSE OXIDE COATED COMPONENT OF A PLASMA PROCESSING CHAMBER AND METHOD OF MANUFACTURE THEREOF - A method of forming a dense oxide coating on an aluminum component of semiconductor processing equipment comprises cold spraying a layer of pure aluminum on a surface of the aluminum component to a predetermined thickness. A dense oxide coating is then formed on the layer of pure aluminum using a plasma electrolytic oxidation process, wherein the plasma electrolytic oxidation process causes the layer of pure aluminum to undergo microplasmic discharges, thus forming the dense oxide coating on the layer of pure aluminum on the surface of the aluminum component. | 10-02-2014 |
20140302680 | INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION - The embodiments disclosed herein pertain to improved methods and apparatus for etching a semiconductor substrate. A plasma grid assembly is positioned in a reaction chamber to divide the chamber into upper and lower sub-chambers. The plasma grid assembly may include one or more plasma grids having slots of a particular aspect ratio, which allow certain species to pass through from the upper sub-chamber to the lower sub-chamber. Where multiple plasma grids are used, one or more of the grids may be movable, allowing for tenability of the plasma conditions in at least the lower sub-chamber. In some cases, an electron-ion plasma is generated in the upper sub-chamber. Electrons that make it through the grid to the lower sub-chamber are cooled as they pass through. In some cases, this results in an ion-ion plasma in the lower sub-chamber. | 10-09-2014 |
20140302681 | INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION - The embodiments disclosed herein pertain to improved methods and apparatus for etching a semiconductor substrate. A plasma grid is positioned in a reaction chamber to divide the chamber into upper and lower sub-chambers. The plasma grid may have slots of a particular aspect ratio which allow certain species to pass through from the upper sub-chamber to the lower sub-chamber. In some cases, an electron-ion plasma is generated in the upper sub-chamber. Electrons that make it through the grid to the lower sub-chamber are cooled as they pass through. In some cases, this results in an ion-ion plasma in the lower sub-chamber. The lower sub-chamber plasma has a lower electron density, lower effective electron temperature, and higher negative ion:positive ion ratio as compared to the upper sub-chamber plasma. The disclosed embodiments may result in an etching process having good center to edge uniformity, selectivity, profile angle, and Iso/Dense loading. | 10-09-2014 |
20140315392 | COLD SPRAY BARRIER COATED COMPONENT OF A PLASMA PROCESSING CHAMBER AND METHOD OF MANUFACTURE THEREOF - A cold spray barrier coated component of a semiconductor plasma processing chamber comprises a substrate having at least one metal surface wherein a portion of the metal surface is configured to form an electrical contact. A cold spray barrier coating is formed from a thermally and electrically conductive material on at least the metal surface configured to form the electrical contact of the substrate. Further, the cold spray barrier coating may also be located on a plasma exposed and/or process gas exposed surface of the component. | 10-23-2014 |
20140335696 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - The plasma processing apparatus is provided with a chamber | 11-13-2014 |
20140342568 | CONTROLLING TEMPERATURE OF A FARADAY SHIELD - A method for controlling thermal cycling of a faraday shield in a plasma process chamber is provided. The method includes: performing a first plasma processing operation on a first wafer in the plasma process chamber; terminating the first plasma processing operation; performing a first wafer transfer operation to transfer the first wafer out of the chamber; and, during the first wafer transfer operation, applying power to a TCP coil under a plasma limiting condition. | 11-20-2014 |
20140342569 | NEAR SURFACE ETCH SELECTIVITY ENHANCEMENT - A method of selectively dry etching exposed substrate material on patterned heterogeneous structures is described. The method includes a plasma process prior to a remote plasma etch. The plasma process may use a biased plasma to treat an untreated substrate portion in a preferred direction to form a treated substrate portion. Subsequently, a remote plasma is formed using a fluorine-containing precursor to etch the treated substrate portion using the plasma effluents. By implementing biased plasma processes, the normally isotropic etch may be transformed into a directional (anisotropic) etch despite the remote nature of the plasma excitation during the etch process. | 11-20-2014 |
20140377958 | PLASMA PROCESSING METHOD AND VACUUM PROCESSING APPARATUS - A plasma processing method embodying this invention is for applying plasma processing to a sample having a metal-containing film. This method includes the steps of applying plasma processing to the sample by using a mixture of halogen-containing gas and nitrogen gas, generating a plasma using a mixture of oxygen gas and inert gas in a plasma production chamber, which is different from a post-treatment chamber used for posttreatment of the plasma-processed sample, and performing posttreatment of the sample while at the same time transporting the generated plasma to the posttreatment chamber via a transfer path disposed between the plasma production chamber and the posttreatment chamber. | 12-25-2014 |
20150031213 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing method is provided for a plasma processing apparatus which includes a plurality of upstream-side expansion valves and a plurality of downstream-side expansion valves connected to respective refrigerant inlets and respective refrigerant outlets to adjust a flow rate or a pressure of a refrigerant flowing into the respective refrigerant inlets and a flow rate or a pressure of a refrigerant flowing out from the respective refrigerant outlets. The method includes adjusting openings of the upstream-side expansion valves and openings of the downstream-side expansion valves so that no change in flow rate of the refrigerant occurs in a plurality of refrigerant channels between the plurality of upstream-side expansion valves and the plurality of downstream-side expansion valves via the plurality of refrigerant channels in a refrigeration cycle allowing the refrigerant to flow therein. | 01-29-2015 |
20150064918 | Method for Laterally Trimming a Hardmask - Techniques herein include methods for controllable lateral etching of dielectrics in polymerizing fluorocarbon plasmas. Methods can include dielectric stack etching that uses a mask trimming step as part of a silicon etching process. Using a fluorocarbon mixture for dielectric mask trimming provides several advantages, such as being straightforward to apply and providing additional flexibility to the process flow. Thus, techniques herein provide a method to correct or tune CDs on a hardmask. In general, this technique can include using a fluorine-based and a fluorocarbon-based, or fluorohydrocarbon-based, chemistry for creating a plasma, and controlling a ratio of the two chemistries. Without the hardmask trim method disclosed herein, if a hardmask CD is not on target, then a wafer is scrapped. With hard-mask trim capability in silicon etch as disclosed herein, a given CD can be re-targeted to eliminate wafer-scraps. | 03-05-2015 |
20150099365 | TUNABLE UPPER PLASMA-EXCLUSION-ZONE RING FOR A BEVEL ETCHER - A bevel etcher for cleaning a bevel edge of a semiconductor substrate with plasma includes a lower electrode assembly having a lower support having a cylindrical top portion. An upper dielectric component is disposed above the lower electrode assembly having a cylindrical bottom portion opposing the top portion of the lower support. A tunable upper plasma exclusion zone (PEZ) ring surrounds the bottom portion of the dielectric component, wherein a lower surface of the tunable upper PEZ ring includes an upwardly tapered outer portion extending outwardly from the bottom portion of the upper dielectric component, wherein a vertical height of an adjustable gap between the lower surface of the upper PEZ ring and an upper surface of a substrate supported on the lower support can be increased or decreased such that the extent of the bevel edge of the substrate to be cleaned by the plasma can respectively be adjusted radially inward or radially outward. At least one radio frequency (RF) power source is adapted to energize process gas into the plasma during a bevel edge cleaning process. | 04-09-2015 |
20150099366 | PLASMA ETCHING METHOD - Provided is a plasma etching method capable of favorably forming masks used when etching a multilayer film. This plasma etching method for etching boron-doped amorphous carbon involves using a plasma of a gas mixture comprising a chlorine gas and an oxygen gas, and setting the temperature of a mounting stage ( | 04-09-2015 |
20150126035 | Novel Mask Removal Process Strategy for Vertical NAND Device - A method for removing a doped amorphous carbon mask from a semiconductor substrate is disclosed. The method comprises generating a plasma to be used in treating the substrate, wherein the plasma comprises an oxygen containing gas, a halogen containing gas, and a hydrogen containing gas; and treating the substrate by exposing the substrate to the plasma. The doped amorphous carbon mask can be a boron doped amorphous carbon mask or a nitrogen doped amorphous carbon mask. The method can result in a mask removal rate ranging from about 1,000 Ångströms/minute to about 12,000 Ångströms/minute. Further, gases can be applied to the substrate before plasma treatment, after plasma treatment, or both to reduce the amount of defects or pinholes found in the substrate film. | 05-07-2015 |
20150126036 | CONTROLLING ETCH RATE DRIFT AND PARTICLES DURING PLASMA PROCESSING - The invention is an plasma processing system with a plasma chamber for processing semiconductor substrates, comprising: a radio frequency or microwave power generator coupled to the plasma chamber; a low pressure vacuum system coupled to the plasma chamber; and at least one chamber surface that is configured to be exposed to a plasma, the chamber surface comprising: a YxOyFz layer that comprises Y in a range from 20 to 40%, O in a range from ≦60%, and F in a range of ≦75%. Alternatively, the YxOyFz layer can comprise Y in a range from 25 to 40%, O in a range from 40 to 55%, and F in a range of 5 to 35% or Y in a range from 25 to 40%, O in a range from 5 to 40%, and F in a range of 20 to 70%. | 05-07-2015 |
20150294843 | METHODS FOR EXTENDING CHAMBER COMPONENT LIFE FOR PLASMA PROCESSING SEMICONDUCTOR APPLICATIONS - Embodiments of the present invention generally provide chamber cleaning methods for cleaning a plasma processing chamber with minimum likelihood of erosion occurred on the chamber components so as to extend service life of chamber components for semiconductor plasma applications. In one embodiment, a method of extending chamber component life in a processing chamber includes supplying a cleaning gas mixture into a plasma processing chamber, applying a RF source power to the plasma processing chamber, and applying a voltage to a substrate support assembly disposed in the processing chamber during cleaning. | 10-15-2015 |
20150319837 | METHOD FOR CONTROLLING AN RF GENERATOR - An RF generator and a method of controlling same includes an RF source; a DC source; and an RF amplifier comprising an RF input, a DC input, and an RF output, the RF amplifier configured to receive an RF signal at the RF input, receive a DC voltage at the DC input, and provide an output power at the RF output; a control unit operably coupled to the DC source and RF source, the control unit configured to receive a power setpoint for the RF output, determine a power dissipation at the RF generator, alter the DC voltage, and repeat the alteration of the DC voltage until determining a final DC voltage that decreases the power dissipation at the RF generator while enabling the output power at the RF output to be equal to or greater than the power setpoint. | 11-05-2015 |
20150371864 | LOW TEMPERATURE GAS-PHASE CARBON REMOVAL - A method of etching carbon films on patterned heterogeneous structures is described and includes a gas phase etch using remote plasma excitation. The remote plasma excites a fluorine-containing precursor and an oxygen-containing precursor, the plasma effluents created are flowed into a substrate processing region. The plasma effluents etch the carbon film more rapidly than silicon, silicon nitride, silicon carbide, silicon carbon nitride and silicon oxide. | 12-24-2015 |
20150371876 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus is provided including a processing chamber disposed within a vacuum vessel to form plasma therein, a processing stage disposed in the processing chamber to mount a wafer thereon, a first power supply for outputting an electric field supplied to form the plasma and forming an electric field of a first frequency supplied with repetition of a high output and a low output during processing of the wafer, a second power supply for supplying power of a second frequency to an electrode disposed within the processing stage, and a control device for causing a first value between load impedance at time of the high output of the electric field and load impedance at time of the low output of the electric field to match with impedance of the first power supply. | 12-24-2015 |
20150380281 | CERAMIC SHOWERHEAD INCLUDING CENTRAL GAS INJECTOR FOR TUNABLE CONVECTIVE-DIFFUSIVE GAS FLOW IN SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS - An inductively coupled plasma processing apparatus comprises a vacuum chamber, a vacuum source, and a substrate support on which a semiconductor substrate is supported. A ceramic showerhead forms an upper wall of the vacuum chamber. The ceramic showerhead includes a gas plenum in fluid communication with a plurality of showerhead gas outlets for supplying process gas to the interior of the vacuum chamber, and a central opening configured to receive a central gas injector. A central gas injector is disposed in the central opening of the ceramic showerhead. The central gas injector includes a plurality of gas injector outlets for supplying process gas to the interior of the vacuum chamber. An RF energy source energizes the process gas into a plasma state to process the semiconductor substrate. The flow rate of the process gas supplied by the central gas injector and the flow rate of the process gas supplied by the ceramic showerhead can be independently controlled. | 12-31-2015 |
20160013081 | PLASMA-PROCESSING APPARATUS WITH UPPER ELECTRODE PLATE AND METHOD FOR PERFORMING PLASMA TREATMENT PROCESS | 01-14-2016 |
20160020114 | Method for Increasing Oxide Etch Selectivity - Techniques herein include methods for etching an oxide layer with greater selectivity to underlying channel materials. Such an increase in etch selectivity reduces damage to channel materials thereby providing more reliable and better performing semiconductor devices. Techniques herein include using fluorocarbon gas to feed a plasma to create etchants, and also creating a flux of ballistic electrons to treat a given substrate during an etch process. | 01-21-2016 |
20160020134 | APPARATUS AND METHOD FOR REDUCING SUBSTRATE SLIDING IN PROCESS CHAMBERS - Methods and apparatus for processing a substrate are disclosed herein. In some embodiments, an apparatus for processing a substrate includes: a substrate support having a substrate supporting surface including an electrically insulating coating; a substrate lift mechanism including a plurality of lift pins configured to move between a first position disposed beneath the substrate supporting surface and a second position disposed above the substrate supporting surface; and a connector configured to selectively provide an electrical connection between the substrate support and the substrate lift mechanism before the plurality of lift pins reach a plane of the substrate supporting surface. | 01-21-2016 |
20160027618 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus includes a sample stage in a processing chamber in a vacuum container having a placement surface on which a wafer to be processed by using the plasma is placed, a discharge pump connected to a discharge port disposed below the sample stage, and an adjuster that adjusts the amount of discharged gas, in which a first process step of supplying a first processing gas from above the placement surface into the processing chamber and supplying a second processing gas from below the placement surface into the processing chamber to process the wafer by using the first processing gas and a second process step where the first processing gas and the second processing gas are reversed are repeatedly switched over therebetween, and the adjuster adjusts a pressure in the processing chamber to a predetermined value during the processing. | 01-28-2016 |
20160035542 | METHOD OF CONDITIONING VACUUM CHAMBER OF SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS - A method of conditioning a vacuum chamber of a semiconductor substrate processing apparatus includes forming a layer of an organic polymeric film on plasma or process gas exposed surfaces thereof. The method includes: (a) flowing a first reactant in vapor phase of a diacyl chloride into the vacuum chamber; (b) purging the vacuum chamber after a flow of the first reactant has ceased; (c) flowing a second reactant in vapor phase into the vacuum chamber selected from the group consisting of a diamine, a diol, a thiol, and a trifunctional compound to form a layer of an organic polymeric film on the plasma or process gas exposed surfaces of the vacuum chamber; and (d) purging the vacuum chamber to purge excess second reactant and reaction byproducts from the vacuum chamber. | 02-04-2016 |
20160042961 | ELECTRON BEAM PLASMA SOURCE WITH ROTATING CATHODE, BACKSIDE HELIUMCOOLING AND LIQUID COOLED PEDESTAL FOR UNIFORM PLASMA GENERATION - A plasma reactor has an electron beam source as a plasma source and a rotation motor coupled to rotate the workpiece support about a rotation axis that is transverse to an emission path of said electron beam source. | 02-11-2016 |
20160042982 | GAS-FLOW CONTROL METHOD FOR PLASMA APPARATUS - A gas-flow control method for a plasma apparatus is provided. The gas-flow control method includes mounting a first adjusting mechanism on a gas-distribution plate. The gas-distribution plate includes a number of exhaust openings, and the exhaust openings in a first area of the gas-distribution plate are masked by the first adjusting mechanism. The gas-flow control method also includes exhausting a gas from the exhaust openings in a first unmasked area of the gas-distribution plate, and the gas passing through the first adjusting mechanism into a plasma chamber. The gas-flow control method further includes generating an electric field to excite the gas in the plasma chamber into plasma. | 02-11-2016 |
20160056021 | PLASMA ETCHING APPARATUS AND PLASMA ETCHING METHOD - A plasma etching apparatus of the present disclosure etches a substrate by plasma of a processing gas. The plasma etching apparatus includes a processing container; a holding unit configured to hold a substrate; and an electrode plate. The plasma etching apparatus further includes configured to supply the processing gas to a space between the holding unit and the electrode plate and disposed in n (n is a natural number of two or more) regions of the substrate divided concentrically in a radial direction, respectively. In addition, the plasma etching apparatus further includes a high frequency power source configured to supply a high frequency power to at least one of the holding unit and the electrode plate so as to generate plasma. The plasma etching apparatus controls a flow rate of the processing gas. | 02-25-2016 |
20160064212 | CONTACT CLEAN IN HIGH-ASPECT RATIO STRUCTURES - Method and apparatus for cleaning a substrate having a plurality of high-aspect ratio openings are disclosed. A substrate can be provided in a plasma processing chamber, where the substrate includes the plurality of high-aspect ratio openings, the plurality of high-aspect ratio openings are defined by vertical structures having alternating layers of oxide and nitride or alternating layers of oxide and polysilicon. The substrate can include a silicon oxide layer over a damaged or amorphous silicon layer in the high-aspect ratio openings. To remove the silicon oxide layer, a bias power can be applied in the plasma processing chamber at a low pressure, and a fluorine-based species can be used to etch the silicon oxide layer. To remove the underlying damaged or amorphous silicon layer, a source power and a bias power can be applied in the plasma processing chamber, and a hydrogen-based species can be used to etch the damaged or amorphous silicon layer. | 03-03-2016 |
20160064215 | Methods for Processing Bevel Edge Etching - The embodiments provide apparatus and methods for removal of etch byproducts, dielectric films and metal films near the substrate bevel edge, and chamber interior to avoid the accumulation of polymer byproduct and deposited films and to improve process yield. In an exemplary embodiment, a plasma processing chamber configured to clean a bevel edge of a substrate is provided. The plasma processing chamber includes a substrate support configured to receive the substrate. The plasma processing chamber also includes a bottom edge electrode surrounding the substrate support. The bottom edge electrode and the substrate support are electrically isolated from one another by a bottom dielectric ring. A surface of the bottom edge electrode facing the substrate is covered by a bottom thin dielectric layer. The plasma processing chamber further includes a top edge electrode surrounding a top insulator plate opposing the substrate support. The top edge electrode is electrically grounded. A surface of the top edge electrode facing the substrate is covered by a top thin dielectric layer. The top edge electrode and the bottom edge electrode oppose one another and are configured to generate a cleaning plasma to clean the bevel edge of the substrate. | 03-03-2016 |
20160086774 | PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD, AND METHOD FOR MANUFACTURING ELECTRONIC DEVICE - In an inductively-coupled plasma torch unit, a coil, a first ceramic block, and a second ceramic block are arranged, parallel to one another, and an elongated chamber has an annular shape. Plasma generated inside the chamber is ejected toward a substrate through an opening portion in the chamber. The substrate is processed by relatively moving the elongated chamber and the substrate in a direction perpendicular to a longitudinal direction of the opening portion. A rotating ceramic pipe having a cylindrical shape is provided so as to cause a refrigerant to flow into a cavity formed inside the ceramic pipe. Accordingly, it becomes possible to apply greater high-frequency power, thereby enabling fast plasma processing. | 03-24-2016 |
20160093500 | METHOD FOR PROCESSING A CARRIER, A METHOD FOR OPERATING A PLASMA PROCESSING CHAMBER, AND A METHOD FOR PROCESSING A SEMICONDUCTOR WAFER - According to various embodiments, a method for processing a carrier may include: performing a dry etch process in a processing chamber to remove a first material from the carrier by an etchant, the processing chamber including an exposed inner surface including aluminum and the etchant including a halogen; and, subsequently, performing a hydrogen plasma process in the processing chamber to remove a second material from at least one of the carrier or the inner surface of the processing chamber. | 03-31-2016 |
20160099161 | PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS - A plasma etching method includes a holding step of holding a substrate, a processing gas supplying step of supplying processing gas to a space between the holding unit and an electrode plate facing the holding unit within the processing chamber, and a high frequency power supplying step of converting the processing gas supplied to the space from the plurality of supply parts into plasma by supplying a high frequency power from a high frequency power supply to at least one of the holding unit and the electrode plate. The processing gas supplying step includes controlling an adjustment unit configured to adjust a supply condition for supplying processing gas with respect to each of the plurality of supply parts such that the supply condition that is adjusted varies between a first position and a second position. | 04-07-2016 |
20160111257 | SUBSTRATE FOR MOUNTING GAS SUPPLY COMPONENTS AND METHODS THEREOF - A gas delivery substrate for mounting gas supply components of a gas delivery system for a semiconductor processing apparatus. The substrate includes a plurality of layers having major surfaces thereof bonded together forming a laminate with openings for receiving and mounting first, second, third and fourth gas supply components on an outer major surface. The substrate includes a first gas channel extending into an interior major surface that at least partially overlaps a second gas channel extending into a different interior major surface. The substrate includes a first gas conduit including the first gas channel connecting the first gas supply component to the second gas supply component, and a second gas conduit including the second channel connecting the third gas supply component to the forth gas supply component. | 04-21-2016 |
20160111314 | ESC ASSEMBLY INCLUDING AN ELECTRICALLY CONDUCTIVE GASKET FOR UNIFORM RF POWER DELIVERY THERETHROUGH - A substrate processing apparatus for processing substrates comprises a processing chamber in which a substrate is processed. A process gas source is adapted to supply process gas into the processing chamber. A RF energy source is adapted to energize the process gas into a plasma state in the processing chamber. A vacuum source is adapted to exhaust byproducts of the processing from the processing chamber. The processing chamber includes an electrostatic chuck assembly having a layer of ceramic material that includes an upper electrostatic clamping electrode and at least one RF electrode, a temperature controlled RF powered baseplate, and at least one annular electrically conductive gasket extending along an outer portion of an upper surface of the temperature controlled RF powered baseplate. The at least one annular electrically conductive gasket electrically couples the upper surface of the temperature controlled RF powered baseplate to the at least one RF electrode. | 04-21-2016 |
20160126129 | CLAMP ASSEMBLY - A clamp assembly is for clamping an outer peripheral portion of a substrate to a support in a plasma processing chamber. An RF bias power is applied to the support during the plasma processing of the substrate. The clamp assembly includes an outer clamp member, and an inner clamp member which is received by the outer clamp member, the inner clamp member defining an aperture which exposes the substrate to the plasma processing. The outer clamp member has an inner portion terminating in an inner edge, wherein the inner portion is spaced apart from the inner clamp member. | 05-05-2016 |
20160148821 | METHODS AND SYSTEMS TO ENHANCE PROCESS UNIFORMITY - A semiconductor processing chamber may include a remote plasma region, and a processing region fluidly coupled with the remote plasma region. The processing region may be configured to house a substrate on a support pedestal. The support pedestal may include a first material at an interior region of the pedestal. The support pedestal may also include an annular member coupled with a distal portion of the pedestal or at an exterior region of the pedestal. The annular member may include a second material different from the first material. | 05-26-2016 |
20160172206 | FAST-GAS SWITCHING FOR ETCHING | 06-16-2016 |
20160196981 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 07-07-2016 |
20160251286 | HIGH-PURITY FLUORINATED HYDROCARBON, USE AS A PLASMA ETCHING GAS, AND PLASMA ETCHING METHOD | 09-01-2016 |
20160379842 | GAS PHASE ETCH WITH CONTROLLABLE ETCH SELECTIVITY OF Si-CONTAINING ARC OR SILICON OXYNITRIDE TO DIFFERENT FILMS OR MASKS - A method for the dry removal of a material on a microelectronic workpiece is described. The method includes receiving a workpiece having a surface exposing a target layer composed of silicon and either (1) organic material or (2) both oxygen and nitrogen, and selectively removing at least a portion of the target layer from the workpiece. The selective removal includes exposing the surface of the workpiece to a chemical environment containing N, H, and F at a first setpoint temperature to chemically alter a surface region of the target layer, and then, elevating the temperature of the workpiece to a second setpoint temperature to remove the chemically treated surface region of the target layer. | 12-29-2016 |
20180025900 | ALKALI METAL AND ALKALI EARTH METAL REDUCTION | 01-25-2018 |
20180025915 | DRY ETCHING METHOD | 01-25-2018 |
20190148398 | METHOD OF PROCESSING A SUBSTRATE AND A DEVICE MANUFACTURED BY THE SAME | 05-16-2019 |