Entries |
Document | Title | Date |
20080207003 | PRODUCTION METHOD OF SEMICONDUCTOR APPARATUS - In order to provide a production method of a semiconductor apparatus that can form a film, even in the case of forming a carbon film, on a semiconductor substrate while maintaining an improved optical transparency at a visible band and while maintaining a preferable adhesion property, the semiconductor apparatus production method includes: a first step of generating and controlling plasma by using oxygen and conducting a plasma operation on a surface of a semiconductor substrate set inside a reaction chamber in which a film is formed on the surface of the semiconductor substrate; and a second step of generating and controlling plasma by using hydrogen and conducting a plasma operation on the surface of the semiconductor substrate set inside the reaction chamber, wherein the second step is conducted after the first step and before forming the film on the surface of the semiconductor substrate inside the reaction chamber. | 08-28-2008 |
20080286979 | Method of controlling sidewall profile by using intermittent, periodic introduction of cleaning species into the main plasma etching species - A method of removing a silicon-containing hard polymeric material from an opening leading to a recessed feature during the plasma etching of said recessed feature into a carbon-containing layer in a semiconductor substrate. The method comprises the intermittent use of a cleaning step within a continuous etching process, where at least one fluorine-containing cleaning agent species is added to already present etchant species of said continuous etching process for a limited time period, wherein the length of time of each cleaning step ranges from about 5% to about 100% of the time length of an etch step which either precedes or follows said cleaning step. | 11-20-2008 |
20080293251 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device in which a first hole and a second hole having a lower aspect ratio than the first hole are formed in an insulating film formed on a semiconductor substrate is provided. The method includes: performing a first etching process configured to etch the insulating film; and performing a second etching process configured to etch the insulating film. The second etching process is performed under a condition that deposition rate of a deposited layer formed on a surface of the insulating film is lower than that in the first etching process. | 11-27-2008 |
20090004871 | PROCESSING METHOD AND PLASMA PROCESSING DEVICE - A plasma processing method using plasma includes steps of applying current to a coil and introducing gas into a processing chamber, applying a bias power that does not generate plasma, applying a source power to generate plasma so that a plasma density distribution is high above an outer circumference of a semiconductor wafer and low above a center of the semiconductor wafer, and forming a shape of a sheath layer having a positive ion space charge directly above the semiconductor wafer so as to be convex in an upper direction from the semiconductor wafer, thereby eliminating foreign particles trapped in a boundary of the sheath layer having a positive ion space charge directly above the semiconductor wafer, generating plasma for processing the semiconductor wafer under a condition different from the conditions of the previous steps. | 01-01-2009 |
20090029557 | PLASMA ETCHING METHOD, PLASMA ETCHING APPARATUS AND STORAGE MEDIUM - A plasma etching method plasma-etches an etching target film by using a photoresist film as a mask. The plasma etching method includes loading a target object to be processed into a processing chamber where an upper and a lower electrode are provided to face each other, the target object having the etching target film and the photoresist film in which an opening is formed; introducing into the processing chamber a processing gas containing CF | 01-29-2009 |
20090081877 | METHOD OF CONTROLLING STRIATIONS AND CD LOSS IN CONTACT OXIDE ETCH - A method for controlling striations and CD loss in a plasma etching method is disclosed. During the etching process, the substrate of semiconductor material to be etched is exposed first to plasma under a low power strike and subsequently to a conventional high power strike. CD loss has been found to be reduced by about 400 Angstroms and striations formed in the contact holes are reduced. | 03-26-2009 |
20090197421 | CHEMISTRY AND COMPOSITIONS FOR MANUFACTURING INTEGRATED CIRCUITS - Methods of removing metal-containing materials during the manufacture of integrated circuits are disclosed. Generally, the methods include providing a substrate assembly that includes a metal-containing material, contacting the metal-containing material with a reactive composition that includes a chlorocarbon material under conditions effective to form a reaction product, and removing the reaction product. | 08-06-2009 |
20090275206 | PLASMA PROCESS EMPLOYING MULTIPLE ZONE GAS DISTRIBUTION FOR IMPROVED UNIFORMITY OF CRITICAL DIMENSION BIAS - A passivation species precursor gas is furnished to an inner zone at a first flow rate, while flowing an etchant species precursor gas an annular intermediate zone at a second flow rate. Radial distribution of etch rate is controlled by the ratio of the first and second flow rates. The radial distribution of etch critical dimension bias on the wafer is controlled by flow rate of passivation gas to the wafer edge. | 11-05-2009 |
20100159707 | GAS DISTRIBUTION SYSTEM HAVING FAST GAS SWITCHING CAPABILITIES - A gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus is provided. The gas distribution system can include a gas supply section, a flow control section and a switching section. The gas supply section provides first and second gases, typically gas mixtures, to the flow control section, which controls the flows of the first and second gases to the chamber. The chamber can include multiple zones, and the flow control section can supply the first and second gases to the multiple zones at desired flow ratios of the gases. The gas distribution system can continuously supply the first and second gases to the switching section and the switching section is operable to switch the flows of the first and second gases, such that one of the first and second process gases is supplied to the chamber while the other of the first and second gases is supplied to a by-pass line, and then to switch the gas flows. The switching section preferably includes fast switching valves operable to quickly open and close to allow fast switching of the first and second gases, preferably without the occurrence of undesirable pressure surges or flow instabilities in the flow of either gas. | 06-24-2010 |
20100210113 | METHOD FOR FORMING VIA - The invention provides a method for forming a via. A first dielectric layer is formed on a substrate. A conductive structure is formed in the first dielectric layer. A second dielectric layer is formed on the first dielectric layer and conductive structure. A first etching step is performed by using a first etching mixture to form a first via in the second dielectric layer. A second etching step is performed by using a second etching mixture to form a second via under the first via. The second via exposes at least a top surface of the conductive structure. An etching rate of the second etching step is slower than the first etching step. | 08-19-2010 |
20100240220 | PROCESS FOR STRIPPING PHOTORESIST AND REMOVING DIELECTRIC LINER - A process of stripping a patterned photoresist layer and removing a dielectric liner includes performing an oxygen-containing plasma dry etch process and performing a fluorine-containing plasma dry etch process in the same reaction chamber at a process temperature less than 120° C. | 09-23-2010 |
20100248488 | PULSED PLASMA HIGH ASPECT RATIO DIELECTRIC PROCESS - Radial distribution of etch rate is controlled by controlling the respective duty cycles of pulsed VHF source power applied to the ceiling and pulsed HF or MF bias power on the workpiece. Net average electrical charging of the workpiece is controlled by providing an electronegative process gas and controlling the voltage of a positive DC pulse on the workpiece applied during pulse off times of the pulsed VHF source power. | 09-30-2010 |
20100248489 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - There is provided a plasma processing apparatus and a plasma processing method capable of carrying out a stable plasma process by way of improving plasma stabilization and also capable of increasing lifetime of a variable capacitor in a matching unit, as compared to a conventional case. The plasma processing apparatus includes a power modulation unit configured to perform a power modulation for periodically switching a high frequency power from a high frequency power supply between a first power and a second power higher than the first power. The matching unit is configured to stop a matching operation for a first power application time and for a preset time after a second power application is started. | 09-30-2010 |
20110021030 | REDUCING TWISTING IN ULTRA-HIGH ASPECT RATIO DIELECTRIC ETCH - An apparatus for etching a dielectric layer contained by a substrate is provided. An etch reactor comprises a top electrode and a bottom electrode. An etch gas source supplies an etch gas into the etch reactor. A first Radio Frequency (RF) source generates a first RF power with a first frequency and supplies the first RF power into the etch reactor, whereas the first frequency is between 100 kilo Hertz (kHz) and 600 kHz. A second RF source generates a second RF power with a second frequency and supplies the second RF power into the etch reactor, whereas the second frequency is at least 10 mega Hertz (MHz). | 01-27-2011 |
20110039416 | Method for patterning an ARC layer using SF6 and a hydrocarbon gas - A method of pattern etching a Si-containing anti-reflective coating (ARC) layer is described. The method comprises etching a feature pattern into the silicon-containing ARC layer using plasma formed from a process gas containing SF | 02-17-2011 |
20110097903 | METHOD, APPARATUS AND PROGRAM FOR MANUFACTURING SILICON STRUCTURE - A method for manufacturing a silicon structure according to the present invention includes, in a so-called dry-etching process wherein gas-switching is employed, the steps of: etching a portion in the silicon region at a highest etching rate under a high-rate etching condition such that the portion does not reach the etch stop layer; subsequently etching under a transition etching condition in which an etching rate is decreased with time from the highest etching rate in the high-rate etching condition; and thereafter, etching the silicon region under a low-rate etching condition of a lowest etching rate in the transition etching condition. | 04-28-2011 |
20110143548 | ULTRA LOW SILICON LOSS HIGH DOSE IMPLANT STRIP - Improved methods for stripping photoresist and removing ion implant related residues from a work piece surface are provided. According to various embodiments, plasma is generated using elemental hydrogen, a fluorine-containing gas and a protectant gas. The plasma-activated gases reacts with the high-dose implant resist, removing both the crust and bulk resist layers, while simultaneously protecting exposed portions of the work piece surface. The work piece surface is substantially residue free with low silicon loss. | 06-16-2011 |
20110195577 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND PLASMA ETCHING APPARATUS - A semiconductor device manufacturing method includes a plasma etching step for etching an etching target film formed on a substrate accommodated in a processing chamber. In the plasma etching step, a processing gas including a gaseous mixture containing predetermined gases is supplied into the processing chamber, and a cycle including a first step in which a flow rate of at least one of the predetermined gases is set to a first value during a first time period and a second step in which the flow rate thereof is set to a second value that is different from the first value during a second time period is repeated consecutively at least three times without removing a plasma. The first time period and the second time period are set to about 1 to 15 seconds. | 08-11-2011 |
20110201208 | PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS - According to one embodiment, a process gas containing a fluorocarbon-based gas being an etch gas having a deposition property and SF | 08-18-2011 |
20110237083 | SUBSTRATE PROCESSING METHOD - Disclosed is a substrate processing method configured to prevent the occurrence of a bowing shape to form a hole of a vertical processing shape on a mask layer, and to secure a remaining layer quantity as the mask layer. The substrate processing method receives a wafer W in which a mask layer and an intermediate layer are stacked on a target layer to be processed in a chamber, generates plasma of processing gas in the chamber, performs an etching process on wafer W using the plasma, thereby forming a pattern shape on the target layer to be processed through the intermediate layer and the mask layer. The etching process etches the mask layer by applying excitation power of 500 W for generating plasma, maintaining processing pressure at 5 mTorr (9.31×10 | 09-29-2011 |
20110306214 | Method of selectively etching an insulation stack for a metal interconnect - A method of patterning an insulation layer is described. The method includes preparing a film stack on a substrate, wherein the film stack comprises a cap layer, a SiCOH-containing layer overlying the cap layer, and a hard mask overlying the SiCOH-containing layer. The method further includes transferring a pattern through the film stack by performing a series of etch processes in a plasma etching system, wherein the series of etch processes utilize a temperature controlled substrate holder in the plasma etching system according to a substrate temperature control scheme that achieves etch selectivity between the SiCOH-containing layer and the underlying cap layer. | 12-15-2011 |
20110318934 | SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS - A substrate processing apparatus includes a chamber accommodating a wafer, a susceptor disposed inside the chamber and on which the wafer is held, an upper electrode facing the susceptor, and a second high frequency power source connected to the susceptor, wherein the upper electrode is electrically connected to a ground and is moveable with respect to the susceptor. The substrate processing apparatus divides a potential difference between plasma generated in a processing space and the ground into a potential difference between the plasma and a dielectric and a potential difference between the dielectric and the ground by burying the dielectric in the upper electrode, and changes a gap between the upper electrode and the susceptor. Accordingly, plasma density between the upper electrode and the susceptor is changed | 12-29-2011 |
20120129354 | PROCESS FOR ETCHING SILICON WITH SELECTIVITY TO SILICON-GERMANIUM - A method for performing a selective etching process is described. The method includes preparing a substrate having a silicon layer (Si) and a silicon-germanium (SiGe | 05-24-2012 |
20120178262 | Process for the manufacture of wafers for solar cells at ambient pressure - Solar cells are manufactured from P-type doped monocrystalline or polycrystalline silicon ingots by sawing wafers and applying an N-type doping. The wafers can be treated by etching them, in a plasma assisted process, with an etching gas containing or consisting of carbonyl fluoride. Hereby, the surface is roughened so that the degree of light reflection is reduced, or glass-like phosphorus-containing oxide coatings caused by phosphorus doping are removed. Carbonyl fluoride is also very suitable to selectively etch silicon oxide in silicon oxide/silicon composites. | 07-12-2012 |
20120208369 | Method of Etching Features in Silicon Nitride Films - A processing method is provided for plasma etching features in a silicon nitride (SiN) film covered by a mask pattern. The method includes preparing a film stack on a substrate, the film stack containing a SiN film on the substrate and a mask pattern on the SiN film, forming a plasma from a process gas containing HBr gas, O | 08-16-2012 |
20120220135 | PLASMA ETCHING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND COMPUTER-READABLE STORAGE MEDIUM - There is provided a plasma etching method for forming a hole in a silicon oxide film formed on an etching stopper layer. The plasma etching method includes a main etching process for etching the silicon oxide film; and an etching process that is performed when at least a part of the etching stopper layer is exposed after the main etching process. The etching process includes a first etching process using a gaseous mixture of a C | 08-30-2012 |
20120309203 | PLASMA ETCHING METHOD AND STORAGE MEDIUM - A plasma etching method that can increase the selection ratio of a stop layer to an interlayer insulation film. The plasma etching method is carried out on a substrate that has the interlayer insulation film formed of CwFx (x and w are predetermined natural numbers) and a stop layer that stops etching and is exposed at the bottom of a hole or a trench formed in the interlayer insulation film. The interlayer insulation film and the stop layer are exposed at the same time to plasma generated from CyFz (y and z are predetermined natural numbers) gas and hydrogen-containing gas. | 12-06-2012 |
20130052833 | METHOD FOR ETCHING HIGH-K DIELECTRIC USING PULSED BIAS POWER - A method of patterning a gate stack on a substrate is described. The method includes preparing a gate stack on a substrate, wherein the gate stack includes a high-k layer and a gate layer formed on the high-k layer. The method further includes transferring a pattern formed in the gate layer to the high-k layer using a pulsed bias plasma etching process, and selecting a process condition for the pulsed bias plasma etching process to achieve a silicon recess formed in the substrate having a depth less than 2 nanometer (nm). | 02-28-2013 |
20130059449 | GAS CLUSTER ION BEAM ETCH PROFILE CONTROL USING BEAM DIVERGENCE - A method of etching a substrate is described. In one embodiment, the method includes preparing a mask layer having a pattern formed therein on or above at least a portion of a substrate, etching a feature pattern into the substrate from the pattern in the mask layer using a gas cluster ion beam (GCIB), and controlling a sidewall profile of the feature pattern etched into the substrate by adjusting a beam divergence of the GCIB. | 03-07-2013 |
20130065398 | DRY METAL ETCHING METHOD - A method of etching an aluminum-containing layer on a substrate is described. The method includes forming plasma from a process composition containing a halogen element, and exposing the substrate to the plasma to etch the aluminum-containing layer. The method may additionally include exposing the substrate to an oxygen-containing environment to oxidize a surface of the aluminum-containing layer and control an etch rate of the aluminum-containing layer. The method may further include forming first plasma from a process composition containing HBr and an additive gas having the chemical formula C | 03-14-2013 |
20130078815 | METHOD FOR FORMING SEMICONDUCTOR STRUCTURE WITH REDUCED LINE EDGE ROUGHNESS - A method for forming a semiconductor structure with reduced line edge roughness is provided, including: providing a device layer with a patterned photoresist layer formed thereon; and performing a plasma etching process to pattern the device layer with the patterned photoresist layer formed thereon, forming a patterned device layer, wherein the plasma etching process is operated under a continuous on-stage voltage provided with a relative higher frequency and an on-off stage voltage with pulsing modulation provided with a relative lower frequency. | 03-28-2013 |
20130109190 | PULSED PLASMA WITH LOW WAFER TEMPERATURE FOR ULTRA THIN LAYER ETCHES | 05-02-2013 |
20130122712 | METHOD OF ETCHING HIGH ASPECT RATIO FEATURES IN A DIELECTRIC LAYER - Methods of etching HAR features in a dielectric layer are described. In one embodiment, a substrate is provided into an etch chamber. The substrate has a patterned mask disposed on a dielectric layer formed thereon where the patterned mask has openings. A gas mixture is provided into the etch chamber, the gas mixture includes CO, O | 05-16-2013 |
20130137274 | SUBSTRATE PROCESSING METHOD - There is provided a substrate processing method to suppress popping while increasing the throughput in a photoresist removing process. The substrate processing method comprises: loading a substrate, which is coated with photoresist into which a dopant is introduced, into a process chamber; heating the substrate; supplying a reaction gas to the process chamber, wherein the reaction gas contains at least oxygen and hydrogen components, and concentration of the hydrogen component ranges from 60% to 70%; and processing the substrate in a state where the reaction gas is excited into plasma. In the heating of the substrate, the substrate may be heated to 220° C. to 300° C. In the heating of the substrate, the substrate may be heated to 250° C. to 300° C. | 05-30-2013 |
20130224960 | METHODS FOR ETCHING OXIDE LAYERS USING PROCESS GAS PULSING - Methods for etching an oxide layer disposed on a substrate through a patterned layer defining one or more features to be etched into the oxide layer are provided herein. In some embodiments, a method for etching an oxide layer disposed on a substrate through a patterned layer defining one or more features to be etched into the oxide layer may include: etching the oxide layer through the patterned layer using a process gas comprising a polymer forming gas and an oxygen containing gas to form the one or more features in the oxide layer; and pulsing at least one of the polymer forming gas or the oxygen containing gas for at least a portion of etching the oxide layer to control a dimension of the one or more features. | 08-29-2013 |
20130280915 | PLASMA PROCESSING METHOD - There is provided a plasma processing method capable of carrying out a stable plasma process by way of improving plasma stabilization and also capable of increasing lifetime of a variable capacitor in a matching unit, as compared to a conventional case. The plasma processing method comprises performing a power modulation that periodically switches the high frequency power from the high frequency power supply between a first power and a second power higher than the first power, and performing a mask control that stops a matching operation of the matching unit for an application time of the first power and for a preset time after an application of the second power is started. | 10-24-2013 |
20130302992 | APPARATUS FOR PLASMA TREATMENT AND METHOD FOR PLASMA TREATMENT - An apparatus for plasma treatment contains a process vessel provided with a mounting table for mounting a substrate, a first gas supplying unit configured to supply a first gas into the process vessel, a first plasma generating unit configured to convert at least a part of the first gas to a first plasma, a second gas supplying unit configured to supply a second gas into the process vessel, and a second plasma generating unit configured to convert at least a part of the second gas to a second plasma. A height of ea an inlet of the second gas from the mounting table is lower than a height of an inlet of the first gas from the mounting table. | 11-14-2013 |
20130302993 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND PLASMA ETCHING APPARATUS - A semiconductor device manufacturing method includes a plasma etching step for etching an etching target film formed on a substrate accommodated in a processing chamber. In the plasma etching step, a processing gas including a gaseous mixture containing predetermined gases is supplied into the processing chamber, and a cycle including a first step in which a flow rate of at least one of the predetermined gases is set to a first value during a first time period and a second step in which the flow rate thereof is set to a second value that is different from the first value during a second time period is repeated consecutively at least three times without removing a plasma. The first time period and the second time period are set to about 1 to 15 seconds. | 11-14-2013 |
20140106572 | PLASMA PROCESSING METHOD AND PLASMA PROCESSING DEVICE - A plasma processing method for a plasma processing device is provided. The plasma processing device includes a reaction chamber, multiple Radio Frequency (RF) power supplies with different RF frequency outputs apply RF electric fields to the reaction chamber, the output of at least one pulse RF power supply has multiple output states, and the processing method includes a match frequency obtaining step and a pulse processing step. In the match frequency obtaining step, the output state of the pulse RF power supply is switched to make the reaction chamber have multiple impedances to simulate the impedances in the pulse processing step. The output frequencies of the variable frequency RF power supply are adjusted to match the simulated impedances. The adjusted output frequencies are stored as match frequencies. In the subsequent pulse processing step, the fast switched impedances are instantly matched by the stored match frequencies. | 04-17-2014 |
20140148015 | GAS SWITCHING SECTION INCLUDING VALVES HAVING DIFFERENT FLOW COEFFICIENTS FOR GAS DISTRIBUTION SYSTEM - A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows. | 05-29-2014 |
20140148016 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus includes a processing chamber which plasma-processes a sample, a first high-frequency power supply which supplies first high-frequency power for plasma generation to the processing chamber, a second high-frequency power supply which supplies second high-frequency power to a sample stage on which the sample is placed and a pulse generation device which generate first pulses for time-modulating the first high-frequency power and second pulses for time-modulating the second high-frequency power. The pulse generation device includes a control device which controls the first and second pulses so that frequency of the first pulses is higher than frequency of the second pulses and the on-period of the second pulse is contained in the on-period of the first pulse. | 05-29-2014 |
20140162463 | PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS - A plasma etching method is provided for etching a semiconductor substrate with plasma using a metal mask that is patterned on the semiconductor substrate. The plasma etching method includes a first etching step of controlling a pressure within a chamber to a first pressure and etching the semiconductor substrate inside the chamber under the first pressure using a plasma generated from a fluorine-containing gas; and a second etching step to be performed after the first etching step, the second etching step including controlling the pressure within the chamber to a second pressure, which is higher than the first pressure, and etching the semiconductor substrate inside the chamber under the second pressure using the plasma generated from the fluorine-containing gas. | 06-12-2014 |
20140179109 | METHOD OF CONTROLLING TRENCH MICROLOADING USING PLASMA PULSING - Methods and apparatus for controlling microloading, such as within cell microloading between adjacent cells or isolated/dense microloading between areas of isolated or dense features during shallow trench isolation (STI) fabrication processes, or other trench fabrication processes, are provided herein. In some embodiments, a method for fabricating STI structures may include providing a substrate having a patterned mask layer formed thereon corresponding to one or more STI structures to be etched; etching the substrate through the patterned mask layer using a plasma formed from a process gas to form one or more STI structure recesses on the substrate; and pulsing the plasma for at least a portion of etching the substrate to control at least one of a depth or width of the one or more STI structure recesses. | 06-26-2014 |
20140193979 | DIRECTIONAL SIO2 ETCH USING PLASMA PRE-TREATMENT AND HIGH-TEMPERATURE ETCHANT DEPOSITION - Methods for processing a substrate are described herein. Methods can include positioning a substrate with an exposed surface comprising a silicon oxide layer in a processing chamber, biasing the substrate, treating the substrate to roughen a portion of the silicon oxide layer, heating the substrate to a first temperature, exposing the exposed surface of the substrate to a plasma comprising ammonium fluoride to form one or more volatile products while maintaining the first temperature, and heating the substrate to a second temperature, which is higher than the first temperature, to sublimate the volatile products. | 07-10-2014 |
20140235062 | PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - Disclosed is a plasma processing method which includes a gas supplying process, a power supplying process, and an etching process. In the gas supplying process, a processing gas is supplied into a processing container in which an object to be processed is disposed. In the power supplying process, a plasma generating power of a frequency ranging from about 100 MHz to about 150 MHz as a power for generating plasma of the processing gas supplied into the processing container, and a biasing power which is a power having a frequency lower than that of the plasma generating power are supplied. In the etching process, the object to be processed is etched by the plasma of the processing gas while the biasing power is pulse-modulated so that the duty ratio ranges from about 10% to about 70% and the frequency ranges from about 5 kHz to about 20 kHz. | 08-21-2014 |
20140256149 | METHOD FOR ETCHING HIGH-K DIELECTRIC USING PULSED BIAS POWER - A method of patterning a gate stack on a substrate is described. The method includes preparing a gate stack on a substrate, wherein the gate stack includes a high-k layer and a gate layer formed on the high-k layer. The method further includes transferring a pattern formed in the gate layer to the high-k layer using a pulsed bias plasma etching process, and selecting a process condition for the pulsed bias plasma etching process to achieve a silicon recess formed in the substrate having a depth less than 2 nanometer (nm). | 09-11-2014 |
20140273487 | PULSED DC PLASMA ETCHING PROCESS AND APPARATUS - In one aspect, a plasma etching apparatus is disclosed. The plasma etching apparatus includes a chamber body having a process chamber adapted to receive a substrate, an RF source coupled to an RF electrode, a pedestal located in the processing chamber and adapted to support a substrate, a plurality of conductive pins adapted to contact and support the substrate during processing, and a DC bias source electrically coupled to the plurality of conductive pins. Etching methods are provided, as are numerous other aspects. | 09-18-2014 |
20140287591 | METHOD FOR ETCHING FILM CONTAINING COBALT AND PALLADIUM - Disclosed is a method for etching a film contains cobalt and palladium is provided. A hard mask is provided on the film. The method film includes a process “a” of etching the film by ion sputter etching, a process “b” of exposing a workpiece to plasma of a first gas containing halogen elements after the process “a” of etching of the film, a process “c” of exposing the workpiece to plasma of a second gas containing carbons after the process “b” of exposing the workpiece to the plasma of the first gas, and a process “d” of exposing the workpiece to plasma of a third gas containing a noble gas after the process “c” of exposing the workpiece to the plasma of the second gas. In the method, a temperature of a placement table on which the workpiece is placed is set to a first temperature of 10° C. or less in the process “a”, process “b” and process “c”. | 09-25-2014 |
20140308815 | ETCHING METHOD AND DEVICE - The etching method of the present invention comprises first and second etching steps (S | 10-16-2014 |
20140329391 | CONTINUOUS PLASMA ETCH PROCESS - A method for etching features with a continuous plasma is provided. A first plasma process is provided, comprising providing a flow of a first process gas into a process chamber, maintaining the continuous plasma, and stopping the flow of the first process gas into the process chamber. A transition process is provided, comprising providing a flow of a transition gas into the process chamber, maintaining the continuous plasma, and stopping the flow of the transition gas into the process chamber. A second plasma process is provided, comprising providing a flow of a second process gas into the process chamber, maintaining the continuous plasma, and stopping the second process gas into the process chamber. | 11-06-2014 |
20140335697 | PULSED DIELECTRIC ETCH PROCESS FOR IN-SITU METAL HARD MASK SHAPE CONTROL TO ENABLE VOID-FREE METALLIZATION - An all-in-one trench-over-via etch wherein etching of a low-k material beneath a metal hard mask of titanium nitride containing material is carried out in alternating steps of (a) etching the low-k material while maintaining chuck temperature at about 45 to 80° C. and (b) metal hard mask rounding and Ti-based residues removal while maintaining chuck temperature at about 90 to 130° C. | 11-13-2014 |
20140342570 | ETCH PROCESS HAVING ADAPTIVE CONTROL WITH ETCH DEPTH OF PRESSURE AND POWER - The disclosure concerns a plasma-enhanced etch process in which chamber pressure and/or RF power level is ramped throughout the etch process. | 11-20-2014 |
20140342571 | WAFER ETCHING APPARATUS AND WAFER ETCHING METHOD USING THE SAME - A wafer etching apparatus and a wafer etching method using the wafer etching apparatus, which are capable of etching Si wafer in a dry etching method, are disclosed. According to the wafer etching apparatus and the wafer etching method, the capacitively coupled plasma unit or the inductively coupled plasma unit and the remote plasma unit are included together to etch wafer in a high speed and to reduce etching operation time. Additionally, the chuck has an upper surface with roughness so that the wafer can be cooled down through a helium gas provided to the wafer through a minute space between the upper surface and the wafer. Therefore, unwanted plasma which is generated in the groove in the conventional wafer etching apparatus is prevented to prevent damage of the wafer. | 11-20-2014 |
20140363979 | DIRECTIONAL SIO2 ETCH USING LOW-TEMPERATURE ETCHANT DEPOSITION AND PLASMA POST-TREATMENT - Methods for processing a substrate are described herein. Methods can include positioning a substrate comprising silicon in a processing chamber, delivering a plasma to the surface of the substrate while biasing the substrate, exposing the surface of the substrate to ammonium fluoride (NH | 12-11-2014 |
20140370715 | PLASMA PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS - Provided are a plasma processing method and a substrate processing apparatus. The plasma processing method includes mounting at least one first plasma source and at least one second plasma source on a chamber, supplying a first gas to the first plasma source, supplying a second gas different from the first gas to the second plasma source, applying power to the first plasma source to generate first plasma, applying power to the second plasma source to generate second plasma, and processing a substrate disposed inside the chamber using the first and second plasma. | 12-18-2014 |
20150017810 | DUAL CHAMBER PLASMA ETCHER WITH ION ACCELERATOR - The embodiments herein generally deal with semiconductor processing methods and apparatus. More specifically, the embodiments relate to methods and apparatus for etching a semiconductor substrate. A partially fabricated semiconductor substrate is provided in a reaction chamber. The reaction chamber is divided into an upper sub-chamber and a lower sub-chamber by a grid assembly. Plasma is generated in the upper sub-chamber, and the substrate is positioned in the lower sub-chamber. The grid assembly includes at least two grids, each of which is negatively biased, and each of which includes perforations which allow certain species to pass through. The uppermost grid is negatively biased in order to repel electrons. The lowermost grid is biased further negative (compared to the uppermost grid) in order to accelerate positive ions from the upper to the lower sub-chamber. Etching gas is supplied directly to the lower sub-chamber. The etching gas and ions react with the surface of the substrate to etch the substrate as desired. | 01-15-2015 |
20150037981 | FAST-GAS SWITCHING FOR ETCHING - A method for etching a layer in a plasma chamber with an inner injection zone gas feed and an outer injection zone gas feed is provided. The layer is placed in the plasma chamber. A pulsed etch gas is provided from the inner injection zone gas feed at a first frequency, wherein flow of pulsed etch gas from the inner injection zone gas feed is ramped down to zero. The pulsed etch gas is provided from the outer injection zone gas feed at the first frequency and simultaneous with and out of phase with the pulsed etch gas from the inner injection zone gas feed. The etch gas is formed into a plasma to etch the layer, simultaneous with the providing the pulsed etch gas from the inner injection zone gas feed and providing the pulsed gas from the outer interjection zone gas feed. | 02-05-2015 |
20150044877 | ETCHING METHOD - An etching method can improve etching accuracy as well as secure selectivity when forming a dummy gate of a fin-type field effect transistor. In the etching method, the dummy gate of a fin-type field effect transistor is formed with a target object. In the etching method, a gate material deposited between multiple fins is etched by using surface wave plasma. A pressure in the etching method is 50 mTorr (6.67 Pa) or more, a frequency of a power to be applied to a mounting table configured to mount thereon the target object is in a range of 10 Hz or more to 200 Hz or less, and the power is pulse-modulated such that a duty ratio as a ratio of an ON-time to a pulse cycle is 50% or less. | 02-12-2015 |
20150044878 | Semiconductor Processing System Having Multiple Decoupled Plasma Sources - A semiconductor substrate processing system includes a chamber that includes a processing region and a substrate support. The system includes a top plate assembly disposed within the chamber above the substrate support. The top plate assembly includes first and second sets of plasma microchambers each formed into the lower surface of the top plate assembly. A first network of gas supply channels are formed through the top plate assembly to flow a first process gas to the first set of plasma microchambers to be transformed into a first plasma. A set of exhaust channels are formed through the top plate assembly. The second set of plasma microchambers are formed inside the set of exhaust channels. A second network of gas supply channels are formed through the top plate assembly to flow a second process gas to the second set of plasma microchambers to be transformed into a second plasma. | 02-12-2015 |
20150064920 | System, Method and Apparatus for Generating Pressure Pulses in Small Volume Confined Process Reactor - A plasma processing system and method includes a processing chamber, and a plasma processing volume included therein. The plasma processing volume having a volume less than the processing chamber. The plasma processing volume being defined by a top electrode, a substrate support surface opposing the surface of the top electrode and a plasma confinement structure including at least one outlet port. A conductance control structure is movably disposed proximate to the at least one outlet port and capable of restricting an outlet flow through the at least one outlet port to a first flow rate and capable of increasing the outlet flow through the at least one outlet port to a second flow rate, wherein the conductance control structure restricts the outlet flow rate moves between the first flow rate and the second flow rate corresponding to a selected processing state set by the controller during a plasma process. | 03-05-2015 |
20150079798 | METHODS FOR ETCHING AN ETCHING STOP LAYERUTILIZING A CYCLICAL ETCHING PROCESS - Methods for etching an etching stop layer disposed on the substrate using a cyclical etching process are provided. In one embodiment, a method for etching an etching stop layer includes performing a treatment process on the substrate having a silicon nitride layer disposed thereon by supplying a treatment gas mixture into the processing chamber to treat the silicon nitride layer, and performing a chemical etching process on the substrate by supplying a chemical etching gas mixture into the processing chamber, wherein the chemical etching gas mixture includes at least an ammonium gas and a nitrogen trifluoride, wherein the chemical etching process etches the treated silicon nitride layer. | 03-19-2015 |
20150079799 | METHOD FOR STABILIZING AN INTERFACE POST ETCH TO MINIMIZE QUEUE TIME ISSUES BEFORE NEXT PROCESSING STEP - Methods for etching a dielectric barrier layer disposed on the substrate using a low temperature etching process along with a subsequent interface protection layer deposition process are provided. In one embodiment, a method for etching a dielectric barrier layer disposed on a substrate includes transferring a substrate having a dielectric barrier layer disposed thereon into an etching processing chamber, performing a treatment process on the dielectric barrier layer, remotely generating a plasma in an etching gas mixture supplied into the etching processing chamber to etch the treated dielectric barrier layer disposed on the substrate, plasma annealing the dielectric barrier layer to remove the dielectric barrier layer from the substrate, and forming an interface protection layer after the dielectric barrier is removed from the substrate. | 03-19-2015 |
20150079800 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - According to one exemplary embodiment, a method of manufacturing a semiconductor device is provided, the method including: dry-etching an aluminum film containing silicon with a first etching gas containing halogen to decrease the thickness of the aluminum film; and dry-etching the aluminum film with a second etching gas containing inert gas. | 03-19-2015 |
20150104950 | PLASMA PROCESSING METHOD - A plasma processing method for processing a silicon containing film formed on a substrate including a step of removing a reaction product with a first plasma formed from a first gas containing halogen, hydrogen, and carbon in a case where the reaction product is formed when performing an etching process on the silicon containing film by using an etching mask having an etching pattern. | 04-16-2015 |
20150118854 | MOLECULAR RADICAL ETCH CHEMISTRY FOR INCREASED THROUGHPUT IN PULSED PLASMA APPLICATIONS - As device feature size shrinks, plasma induced damage is a major concern affecting micro-electronic and nano-electronic device fabrication. Pulsed plasmas are a means of mitigating the damages. However, in conventional standard etch chemistry, the etch rate for pulsed plasmas is reduced significantly resulting in a substantially decreased throughput of tech processes. A new etch chemistry is disclosed in the present invention to increase throughput in pulsed plasma applications driven mainly by the molecular radicals. | 04-30-2015 |
20150132967 | METHOD OF PROCESSING SUBSTRATE AND SUBSTRATE PROCESSING APPARATUS - A method of processing a substrate using a substrate processing apparatus that has an electrostatic chuck including an insulating member inside which an electrode is included and provides a plasma process to a substrate mounted on the electrostatic chuck includes a first process of supplying a heat transfer gas having a second gas pressure to a back surface of the substrate while eliminating electric charges in the substrate using plasma of a process gas having a first gas pressure. | 05-14-2015 |
20150132968 | DRY-ETCH SELECTIVITY - A method of etching exposed patterned heterogeneous structures is described and includes a remote plasma etch formed from a reactive precursor. The plasma power is pulsed rather than left on continuously. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents selectively remove one material faster than another. The etch selectivity results from the pulsing of the plasma power to the remote plasma region, which has been found to suppress the number of ionically-charged species that reach the substrate. The etch selectivity may also result from the presence of an ion suppression element positioned between a portion of the remote plasma and the substrate processing region. | 05-14-2015 |
20150140828 | ETCHING METHOD AND PLASMA PROCESSING APPARATUS - A method of etching an etching target layer containing polycrystalline silicon includes preparing a target object including the etching target layer and a mask formed on the etching target layer; and etching the etching target layer with the mask. Further, the mask includes a first mask portion formed of polycrystalline silicon and a second mask portion interposed between the first mask portion and the etching target layer and formed of silicon oxide. Furthermore, in the etching of the etching target layer, a first gas for etching the etching target layer, a second gas for removing a deposit adhering to the mask, and a third gas for protecting the first mask portion are supplied into a processing vessel in which the target object is accommodated, and plasma of these gases is generated within the processing vessel. | 05-21-2015 |
20150318182 | ETCH RATE ENHANCEMENT FOR A SILICON ETCH PROCESS THROUH ETCH CHAMBER PRETREATMENT - Pretreatment of an etch chamber for performing a silicon etch process and Bosch process can be effected by running a deposition process employing C | 11-05-2015 |
20150332933 | ULTRA LOW SILICON LOSS HIGH DOSE IMPLANT STRIP - Improved methods for stripping photoresist and removing ion implant related residues from a work piece surface are provided. According to various embodiments, plasma is generated using elemental hydrogen, a fluorine-containing gas and a protectant gas. The plasma-activated gases reacts with the high-dose implant resist, removing both the crust and bulk resist layers, while simultaneously protecting exposed portions of the work piece surface. The work piece surface is substantially residue free with low silicon loss. | 11-19-2015 |
20150348792 | CONTINUOUS PLASMA ETCH PROCESS - A method for processing a substrate in a process chamber is provided. A plurality of cycles is provided to process the substrate, wherein each cycle comprises the steps of providing a flow of a first process gas into the process chamber, stopping the flow of the first process gas into the process chamber, providing a flow of a first transition gas into the process chamber, wherein the first transition gas neutralizes a component of the first process gas, stopping the flow of the first transition gas into the process chamber, providing a flow of a second process gas into the process chamber, stopping the second process gas into the process chamber, and maintaining a continuous plasma during the cycle. | 12-03-2015 |
20150371832 | PLASMA PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - The present invention increases uniformity of plasma processing in a surface to be processed of an object to be processed or increases uniformity of plasma processing between objects to be processed. There is provided a plasma processing apparatus including: a processing container; a gas supply system; an exhaust system; a plasma generating unit; a gas flow path installed between an outer wall of the processing container and the plasma generating unit, the gas flow path guiding a temperature controlling gas to flow along the outer wall of the processing container; a plurality of gas introduction holes disposed along a circumferential direction of the processing container and configured to introduce the temperature controlling gas into the gas flow path; and a gas exhaustion hole configured to exhaust the temperature controlling gas passed through the gas flow path. | 12-24-2015 |
20150371889 | METHODS FOR SHALLOW TRENCH ISOLATION FORMATION IN A SILICON GERMANIUM LAYER - Methods for processing a substrate include (a) providing a substrate comprising a silicon germanium layer and a patterned mask layer atop the silicon germanium layer to define a feature in the silicon germanium layer; (b) exposing the substrate to a first plasma formed from a first process gas to etch a feature into the silicon germanium layer; (c) subsequently exposing the substrate to a second plasma formed from a second process gas to form an oxide layer on a sidewall and a bottom of the feature; (d) exposing the substrate to a third plasma formed from a third process gas to etch the oxide layer from the bottom of the feature; and (e) repeating (b)-(d) to form the feature in the first layer to a desired depth, wherein the first process gas, the second process gas and the third process gas are not the same. | 12-24-2015 |
20160013063 | METHODS FOR HIGH PRECISION ETCHING OF SUBSTRATES | 01-14-2016 |
20160013064 | METHOD OF GENERATING PLASMA IN REMOTE PLASMA SOURCE AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE USING THE SAME METHOD | 01-14-2016 |
20160020108 | METHOD FOR ETCHING HIGH-K DIELECTRIC USING PULSED BIAS POWER - A method of patterning a gate stack on a substrate is described. The method includes preparing a gate stack on a substrate, wherein the gate stack includes a high-k layer and a gate layer formed on the high-k layer. The method further includes transferring a pattern formed in the gate layer to the high-k layer using a pulsed bias plasma etching process, and selecting a process condition for the pulsed bias plasma etching process to achieve a silicon recess formed in the substrate having a depth less than 2 nanometer (nm). | 01-21-2016 |
20160042969 | Methods for Dry Hard Mask Removal on a Microelectronic Substrate - The disclosure relates to methods for a multi-step plasma process to remove metal hard mask layer from an underlying hard mask layer that may be used to implement a sub-lithographic integration scheme. The sub-lithographic integration scheme may include iteratively patterning several features into the metal hard mask layer that may be transferred to the hard mask layer. However, the iterative process may leave remnants of previous films on top of the metal hard mask that may act as mini-masks that may interfere with the pattern transfer to the hard mask layer. One approach to remove the mini-masks may be to use a two-step plasma process that removes the mini-mask using a first gas mixture ratio of a carbon-containing gas and a chlorine-containing gas. The remaining metal hard mask layer may be removed using a second gas mixture ratio of the carbon-containing gas and the chlorine-containing gas. | 02-11-2016 |
20160043099 | WORDLINE 3D FLASH MEMORY AIR GAP - Methods of forming air gaps in a 3-d flash memory cell using only gas-phase etching techniques are described. The methods include selectively gas-phase etching tungsten deposited into the stack structure to separate the tungsten levels. Other metals than tungsten may be used. The methods also include selectively etching silicon oxide from between the tungsten levels to make room for vertically spaced air gaps. A nonconformal silicon oxide layer is then deposited to trap the air gaps. Both tungsten removal and silicon oxide removal use remotely excited fluorine-containing apparatuses attached to the same mainframe to facilitate performing both operations without an intervening atmospheric exposure. The nonconformal silicon oxide may be deposited inside or outside the mainframe. | 02-11-2016 |
20160064245 | ETCHING METHOD - Disclosed is a method for etching a first region including a multi-layer film formed by providing silicon oxide films and silicon nitride films alternately, and a second region having a single silicon oxide film. The etching method includes: providing a processing target object including a mask provided on the first region and the second region within a processing container of a plasma processing apparatus; generating plasma of a first processing gas including a hydrofluorocarbon gas within the processing container that accommodates the processing target object; and generating plasma of a second processing gas including a fluorocarbon gas within the processing container that accommodates the processing target object. The step of generating the plasma of the first processing gas and the step of generating the plasma of the second processing gas are alternately repeated. | 03-03-2016 |
20160079043 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus includes a stage in a processing chamber where plasma is formed, a wafer to be processed, and an electrode arranged at an upper part of the stage and supplied with power to electrostatically attract and hold the wafer on the stage, and consecutively processing a plurality of wafers one by one. There are plural processing steps of conducting processing using the plasma under different conditions and there are plural periods when formation of plasma is stopped between the processing steps. An inner wall of the processing chamber is coated before starting the processing of any wafer, and voltage supplied to the electrode is changed according to a balance of respective polarities of particles floating and charged in the processing chamber in each period when formation of plasma is stopped. | 03-17-2016 |
20160079077 | METHODS FOR ETCHING A HARDMASK LAYER FOR AN INTERCONNECTION STRUCTURE FOR SEMICONDUCTOR APPLICATIONS - Embodiments of the present disclosure provide methods for patterning a hardmask layer disposed on a metal layer, such as a copper layer, to form an interconnection structure in semiconductor devices. In one embodiment, a method of patterning a hardmask layer on a metal layer disposed on a substrate includes supplying a first etching gas mixture comprising a carbon-fluorine containing gas and a chlorine containing gas into a processing chamber to etch a portion of a hardmask layer disposed on a metal layer formed on a substrate, supplying a second etching gas mixture comprising a hydrocarbon gas into the processing chamber to clean the substrate, and supplying a third etching gas mixture comprising a carbon-fluorine containing gas to remove a remaining portion of the hardmask layer until a surface of the metal layer is exposed. | 03-17-2016 |
20160086795 | INTERNAL PLASMA GRID APPLICATIONS FOR SEMICONDUCTOR FABRICATION - The embodiments disclosed herein pertain to improved methods and apparatus for etching a semiconductor substrate. A plasma grid assembly is positioned in a reaction chamber to divide the chamber into upper and lower sub-chambers. The plasma grid assembly may include one or more plasma grids having slots of a particular aspect ratio, which allow certain species to pass through from the upper sub-chamber to the lower sub-chamber. In some cases, an electron-ion plasma is generated in the upper sub-chamber. Electrons that make it through the grid to the lower sub-chamber are cooled as they pass through. In some cases, this results in an ion-ion plasma in the lower sub-chamber. The ion-ion plasma may be used to advantage in a variety of etching processes. | 03-24-2016 |
20160093501 | METHOD FOR CONTROLLING ETCHING IN PITCH DOUBLING - Embodiments of the invention describe a method for controlling etching in pitch doubling. According to one embodiment, the method includes receiving a substrate having a pattern thereon defined by spacers formed on sidewalls of a plurality mandrels, and transferring the pattern defined by the spacers into the substrate using a plasma etch process that etches the mandrels and the substrate, the transferring forming first recessed features in the substrate below the mandrels and second recessed features in the substrate between the mandrels, where the plasma etch process utilizes an etching gas containing O | 03-31-2016 |
20160099173 | METHODS FOR ETCHING A BARRIER LAYER FOR AN INTERCONNECTION STRUCTURE FOR SEMICONDUCTOR APPLICATIONS - Embodiments of the present disclosure provide methods for etching a barrier layer disposed under a metal layer, such as a copper layer, when the metal layer is etched open exposing the barrier layer, to form an interconnection structure in semiconductor devices. In one embodiment, a method of etching a barrier layer disposed under a metal layer formed on a substrate includes supplying a first etching gas mixture comprising a hydrogen containing gas and an inert gas into a processing chamber to clean a surface of a barrier layer disposed on a substrate for a first period of time, supplying a second etching gas mixture comprising fluorine containing gas into the processing chamber to etch the barrier layer, and switching to supply the first etching gas in the processing chamber to clean the etched barrier layer for a second period of time. | 04-07-2016 |
20160141183 | DRY ETCHING APPARATUS AND METHOD - There is provided dry etching apparatus including a stage on which a wafer is placed, an antenna electrode, a high frequency power supply, a shower plate, and an RF bias power supply. Further, a bias path controller is provided on the side of the antenna electrode. The bias path controller resonates in series with the static reactance formed by the shower plate with respect to the frequency of the RF bias. Then, the bias path controller changes and grounds the impedance by the variable inductive reactance. With this mechanism, highly uniform etching can be achieved even if a shower plate of quartz is used for corrosive gases. | 05-19-2016 |
20160148813 | GAS INJECTION METHOD FOR UNIFORMLY PROCESSING A SEMICONDUCTOR SUBSTRATE IN A SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS - A method of uniformly processing an upper surface of a semiconductor substrate in a plasma processing apparatus including a showerhead including gas outlets in discrete sectors of a process exposed surface thereof comprises processing the upper surface of the semiconductor substrate by flowing gas through a first discrete sector of the showerhead while preventing gas from flowing through an adjacent discrete sector of the showerhead, and processing the upper surface of the semiconductor substrate by flowing gas through a second discrete sector of the showerhead while preventing gas from flowing through an adjacent discrete sector of the showerhead. The flow of gas through the first discrete sector and the second discrete sector of the showerhead is time averaged such that the upper surface of the semiconductor substrate is uniformly processed. | 05-26-2016 |
20160163515 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus includes a dielectric member having communication holes through which an internal space communicates with a processing space; a first electrode and a second electrode; a first gas supply device which supplies a first processing gas; a first high frequency power supply which supplies a first high frequency power to at least one of the electrodes to generate a first plasma of the first processing gas; a depressurizing device which introduces the first processing gas and radicals in the first plasma; a second high frequency power supply which supplies a second high frequency power to generate a second plasma of the first processing gas and to attract ions; and a control unit which adjusts, by controlling a total amount of the first high frequency powers, the radical amount in the second plasma and adjusts, by controlling a ratio therebetween, the ion amount therein. | 06-09-2016 |
20160163554 | PLASMA ETCHING METHOD - A plasma etching method is provided to perform a desired etching by switching a process condition while maintaining plasma by supplying high frequency power. A first plasma etching process is performed based on a first process condition. A second plasma etching process different from the first process conditions is performed based on a second process condition while supplying first high frequency power having first effective power. Second high frequency power having second effective power is intermittently supplied between the first plasma etching process and the second plasma etching process during a switch from the first plasma etching process to the second plasma etching process. The second effective power of the second high frequency power is equal to or lower than the first effective power of the first high frequency power in the second plasma etching process. | 06-09-2016 |
20160181111 | SILICON ETCH AND CLEAN | 06-23-2016 |
20160203990 | INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION | 07-14-2016 |
20160204007 | System, Method and Apparatus for Generating Pressure Pulses in Small Volume Confined Process Reactor | 07-14-2016 |
20160254163 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 09-01-2016 |
20160379841 | ETCHING METHOD - Disclosed is a method for selectively etching a first region made of silicon oxide to a second region made of silicon nitride. The method includes: performing a first sequence once or more to etch the first region; and performing a second sequence once or more to further etch the first region. The first sequence includes: a first step of generating plasma of a processing gas containing a fluorocarbon to form a fluorocarbon-containing deposit on a workpiece; and a second step of etching the first region by radicals of the fluorocarbon. The second sequence includes: a third step of generating plasma of a processing gas containing a fluorocarbon gas to form a fluorocarbon-containing deposit on a workpiece; and a fourth step of generating plasma of a processing gas containing oxygen gas and an inert gas in the processing container. | 12-29-2016 |
20170236694 | SYSTEM AND METHOD FOR INCREASING ELECTRON DENSITY LEVELS IN A PLASMA OF A SUBSTRATE PROCESSING SYSTEM | 08-17-2017 |
20170236731 | SYSTEMS AND METHODS FOR SELECTIVELY ETCHING FILM | 08-17-2017 |
20180025891 | SYSTEMS AND METHODS FOR ACHIEVING A PRE-DETERMINED FACTOR ASSOCIATED WITH AN EDGE REGION WITHIN A PLASMA CHAMBER BY SYNCHRONIZING MAIN AND EDGE RF GENERATORS | 01-25-2018 |