Entries |
Document | Title | Date |
20080207001 | Pulsed Etching Cooling - In an apparatus and method of vapor etching, a sample (S) to be etched is located in a main chamber ( | 08-28-2008 |
20080227301 | Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter - A method of cleaning a bevel edge of a semiconductor substrate is provided. A semiconductor substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. The substrate has a dielectric layer overlying a top surface and a bevel edge of the substrate, the layer extending above and below an apex of the bevel edge. A process gas is introduced into the reaction chamber and energized into a plasma. The bevel edge is cleaned with the plasma so as to remove the layer below the apex without removing all of the layer above the apex. | 09-18-2008 |
20080233754 | SUBSTRATE PERIPHERAL FILM-REMOVING APPARATUS AND SUBSTRATE PERIPHERAL FILM-REMOVING METHOD - A substrate peripheral film-removing apparatus which is capable of removing a film from a substrate periphery without complicating the construction of the apparatus. A wafer chamber receives a wafer having an SiO | 09-25-2008 |
20080254636 | Etching of silicon oxide film - An etching method includes preparing a target object such that a first oxide film made of silicon oxide containing at least one of B and P is formed on a substrate, a second oxide film made of silicon oxide containing neither of B and P is formed on the first oxide film, and a contact portion is present below an interface between the first oxide film and the second oxide film. The etching method further includes etching the second oxide film and the first oxide film, thereby forming a hole reaching the contact portion, and etching the first oxide film by a dry process using a gas containing HF, thereby expanding a portion of the hole adjacent to an upper side of the contact portion and inside the first oxide film. | 10-16-2008 |
20080286976 | Method of removing a metal silicide layer on a gate electrode in a semiconductor manufacturing process and etching method - A method of removing a metal suicide layer on a gate electrode in a semiconductor manufacturing process is disclosed, in which the gate electrode, a metal silicide layer, a spacer, a silicon nitride cap layer, and a dielectric layer have been formed. The method includes performing a chemical mechanical polishing process to polish the dielectric layer using the silicon nitride cap layer as a polishing stop layer to expose the silicon nitride cap layer over the gate electrode; removing the exposed silicon nitride cap layer to expose the metal silicide layer; and performing a first etching process to remove the metal silicide layer on the gate electrode. | 11-20-2008 |
20090042397 | COPPER RE-DEPOSITION PREVENTING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND SUBSTRATE PROCESSING APPARATUS - A copper re-deposition preventing method includes placing inside a chamber a target substrate with a film including a copper-containing substance and formed thereon, and performing removal of the copper-containing substance from the target substrate placed inside the chamber, by dry cleaning using an organic compound. Then, the method includes unloading from the chamber the target substrate processed by the removal of the copper-containing substance, and depositing a coating film inside the chamber, in which the target substrate processed by the removal of the copper-containing substance is no longer present, thereby covering copper-containing scattered particles left inside the chamber. | 02-12-2009 |
20090047792 | Processes and equipments for preparing F2-containing gases, as well as process and equipments for modifying the surfaces of articles - The present invention provides processes and equipments for safely and easily preparing an F | 02-19-2009 |
20090081874 | METHOD FOR EXTENDING EQUIPMENT UPTIME IN ION IMPLANTATION - The invention features in-situ cleaning process for an ion source and associated extraction electrodes and similar components of the ion-beam producing system, which chemically removes carbon deposits, increasing service lifetime and performance, without the need to disassemble the system. In particular, an aspect of the invention is directed to an activating, catalytic, or reaction promoting species added to the reactive species to effectively convert the non-volatile molecular residue into a volatile species which can be removed by conventional means. | 03-26-2009 |
20090081875 | Chemical removal of oxide layer from chip pads - Methods are provided for removing an oxide layer from a metal pad on an integrated circuit in order to reduce contact resistance. In one embodiment, aluminum oxide, on the surface of a bond pad substantially comprised of aluminum, is reacted with a first chemical agent to form an inorganic salt, and the inorganic salt is then reacted with a second chemical agent leaving a substantially bare, that is, unoxidized, aluminum surface. | 03-26-2009 |
20090087995 | METHOD OF SUBSTRATE TREATMENT, PROCESS FOR PRODUCING SEMICONDUCTOR DEVICE, SUBSTRATE TREATING APPARATUS, AND RECORDING MEDIUM - Substrate processing apparatus | 04-02-2009 |
20090093123 | Spin head, chuck pin used in the spin head, and method for treating a substrate with the spin head - Provided is a spin head for supporting a substrate. The spin head includes a rotatable body, and chuck pins protruding upward from the body and configured to support an edge of a substrate placed at the body when the body is rotated. Each of the chuck pins includes a vertical rod vertically disposed at the body, and a support rod extending from a side of the vertical rod and configured to make contact with the edge of the substrate placed at the body when the body is rotated. When the substrate is rotated, the vertical rod is spaced apart from the edge of the substrate. The contact portion includes a streamlined side surface. The support rod includes a contact portion. The contact portion tapers toward the end of the support rod when viewed from the top of the support rod. | 04-09-2009 |
20090163032 | METHOD OF FORMING A DUAL DAMASCENE PATTERN OF A SEMICONDUCTOR DEVICE - In a method of forming a dual damascene pattern of a semiconductor device, horns that occur while forming a trench constituting the dual damascene pattern are removed in an intermediate process of forming the trench. Thus, the source of particles, which occur due to the horns in a cleaning process performed after the dual damascene pattern is formed, may be removed. Accordingly, an increase of contact resistance due to particles may be prevented, and a reduction in the yield of semiconductor devices may also be improved. | 06-25-2009 |
20090170332 | PROCESSING GAS SUPPLYING SYSTEM AND PROCESSING GAS SUPPLYING METHOD - A gas supplying system includes a processing gas supply pipe for supplying a processing gas from a gas cylinder | 07-02-2009 |
20090191714 | Method of removing oxides - The present invention provides a method of removing oxides. First, a substrate having the oxides is loaded into a reaction chamber, which includes a susceptor setting in the bottom portion of the chamber, a shower head setting above the susceptor, and a heater setting above the susceptor. Subsequently, an etching process is performed. A first thermal treatment process is then carried out. Finally, a second thermal treatment process is carried out, and a reaction temperature of the second thermal treatment process is higher than a reaction temperature of the first thermal treatment process. | 07-30-2009 |
20090221149 | MULTIPLE PORT GAS INJECTION SYSTEM UTILIZED IN A SEMICONDUCTOR PROCESSING SYSTEM - An apparatus having a multiple gas injection port system for providing a high uniform etching rate across the substrate is provided. In one embodiment, the apparatus includes a nozzle in the semiconductor processing apparatus having a hollow cylindrical body having a first outer diameter defining a hollow cylindrical sleeve and a second outer diameter defining a tip, a longitudinal passage formed longitudinally through the body of the hollow cylindrical sleeve and at least partially extending to the tip, and a lateral passage formed in the tip coupled to the longitudinal passage, the lateral passage extending outward from the longitudinal passage having an opening formed on an outer surface of the tip. | 09-03-2009 |
20090233449 | ETCHING CHAMBER WITH SUBCHAMBER - In an apparatus for etching a semiconductor wafer or sample ( | 09-17-2009 |
20090246961 | METHOD FOR FORMING PATTERN OF A SEMICONDUCTOR DEVICE - A method for forming a pattern of a semiconductor device includes: forming a first mask film and a second mask film over an underlying layer; partially etching the first and second mask films using a photoresist mask pattern as an etching mask to form a intermediate mask pattern having a protrusion shape and including first and second mask film layers, over a remaining portion of the first mask film; forming a first spacer at sidewalls of the intermediate mask pattern etching the remaining portion of the first mask film and the first mask film layer of the intermediate mask pattern using the first spacer and the second mask film layer of the intermediate mask pattern as an etching mask to expose the underlying layer and form a mask pattern having first and second mask film layers; forming a second spacer at sidewalls of the mask pattern; and removing the mask pattern to form a symmetrical spacer pattern. | 10-01-2009 |
20090246962 | SUBSTRATE PROCESSING METHOD - A substrate processing method for use in a substrate processing apparatus having a stocker therein which stores a multiplicity of dummy substrates; a reaction chamber for producing semiconductor products; and a transferring unit for transferring into the reaction chamber a process substrate and the dummy substrate stored in the stocker in order to form a film on the process substrate, the method includes transferring one dummy substrate selected among the dummy substrates stored in the stocker to the reaction chamber without being out of the apparatus; and introducing a cleaning gas into the reaction chamber to clean said one dummy substrate within the reaction chamber. | 10-01-2009 |
20090269933 | Substrate Processing Apparatus and Semiconductor Device Manufacturing Method - A substrate processing apparatus comprises a processing chamber for storing a substrate and performing a specified processing on the substrate, a substrate holding jig for holding the substrate in the processing chamber, a placement stand capable of moving the substrate holding jig inside and outside the processing chamber while mounting the substrate holding jig, a substrate holding jig movement mechanism for moving the substrate holding jig to a location different from the placement stand while holding the substrate holding jig, and a substrate holding jig movement suppression mechanism for suppressing vertical and horizontal movement of the substrate holding jig in order to keep the substrate holding jig mounted on the placement unit of the substrate holding jig movement mechanism. | 10-29-2009 |
20090275204 | METHOD FOR ABATING EFFLUENT FROM AN ETCHING PROCESS - A method for abating effluent from an etching process in one embodiment includes advancing etch gas product into a passageway of a gas connector in direct fluid communication with a first chamber of an interior void of an apparatus, advancing a gas from a gas source into said passageway of said gas connector at the same time said etch gas product is being advanced into said passageway, and advancing humidified gas from a humidified gas source into a second chamber of said interior void. | 11-05-2009 |
20090305508 | INTEGRATED CIRCUIT WITH UPSTANDING STYLUS - A stylus, an integrated circuit (IC) and method of forming the IC. The stylus extends upward from its apex and has a substantially circular cross section that decreases in diameter upward from the apex. The stylus is formed in a mold that may be formed in an orifice in a dielectric layer between wiring layers. The mold may include multiple concentric layers. For a more pronounced, non-linear stylus taper, each layer may be thinner than its next adjacent outer concentric layer. | 12-10-2009 |
20090311868 | Semiconductor device manufacturing method - In a semiconductor device manufacturing method according to this invention, an SiO | 12-17-2009 |
20090325386 | Process and System For Varying the Exposure to a Chemical Ambient in a Process Chamber - A processing system is disclosed for conducting various processes on substrates, such as semiconductor wafers by varying the exposure to a chemical ambient. The processing system includes a processing region having an inlet and an outlet for flowing fluids through the chamber. The outlet is in communication with a conductance valve that is positioned in between the processing region outlet and a vacuum exhaust channel. The conductance valve rapidly oscillates or rotates between open and closed positions for controlling conductance through the processing region. This feature is coupled with the ability to rapidly pulse chemical species through the processing region while simultaneously controlling the pressure in the processing region. Of particular advantage, the conductance valve is capable of transitioning the processing region through pressure transitions of as great as 100:1 while chemical species are flowed through the processing region using equally fast control valves in a synchronous pulsed fashion. | 12-31-2009 |
20100022091 | METHOD FOR PLASMA ETCHING POROUS LOW-K DIELECTRIC LAYERS - Described herein are methods and apparatuses for etching low-k dielectric layers to form various interconnect structures. In one embodiment, the method includes forming an opening in a resist layer. The method further includes etching a porous low-k dielectric layer with a process gas mixture that includes a fluorocarbon gas and a carbon dioxide (CO | 01-28-2010 |
20100022092 | Method of producing semiconductor device using resist underlayer film by photo-crosslinking curing - There is provided a resist underlayer film forming composition used in a lithography process for producing semiconductor devices. A method of producing a semiconductor device comprising: forming a coating film by applying a resist underlayer film forming composition containing a polymer, a crosslinker and a photoacid generator on a semiconductor substrate; forming an underlayer film by irradiating light to the coating film; and forming a photoresist by applying a photoresist composition on the underlayer film and heating the resultant layer. The polymer polymer is a polymer having a benzene ring or a hetero ring in a main chain or a side chain bonded to the main chain, and the content rate of a benzene ring in the polymer is 30 to 70% by mass. The polymer may be a polymer containing a lactone structure. | 01-28-2010 |
20100022093 | VACUUM PROCESSING APPARATUS, METHOD OF OPERATING SAME AND STORAGE MEDIUM - In a vacuum processing apparatus including a processing chamber having a transfer port, and a transfer chamber connected via a gate chamber to the transfer port, diffusion of a gas remaining in the processing chamber into the transfer chamber is suppressed. In order to suppress diffusion of gas from the processing chamber into the transfer chamber, the gate chamber is provided with a non-reactive gas supply unit and an exhaust port adapted to produce a stream of a non-reactive gas at a region facing the transfer port. This suppresses diffusion of gas from the processing chamber into the transfer chamber through the transfer port. | 01-28-2010 |
20100055917 | METHOD FOR FORMING ACTIVE PILLAR OF VERTICAL CHANNEL TRANSISTOR - A method for forming an active pillar of a vertical channel transistor includes forming a hard mask pattern on a substrate, etching vertically the substrate using the hard mask pattern as an etch barrier to form an active pillar, and etching horizontally to remove by-product remaining on the exposed substrate, the hard mask pattern and the active pillar and at the same time to reduce line width of the hard mask pattern and the active pillar, wherein a unit cycle in which the vertical etching and the horizontal etching are each performed subsequently once, respectively, is performed repeatedly at least two times or more. According to the present invention, an active pillar having vertical profiles on its sidewalls and having height and line width (or diameter) required in a highly integrated vertical channel transistor can be provided. | 03-04-2010 |
20100055918 | SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Metal corrosion and substrate contamination can be suppressed, and process quality and yield can be improved. A substrate processing apparatus comprises: a process chamber; a substrate holder; a cover part closing and opening the process chamber; a substrate holder stage; a rotary mechanism rotating the substrate holder stage; a rotation shaft inserted through the cover part and connected to the substrate holder stage and the rotary mechanism so that a first gas ejection port is formed therebetween; a first gas stagnant part surrounded by the rotary mechanism, the cover part, and the rotation shaft; a second gas ejection port formed at the substrate holder stage; a second gas stagnant part formed at the rotation shaft and communicating with the process chamber via the second gas ejection port; and a flow port formed at the rotation shaft for connecting the first and second gas stagnant parts. | 03-04-2010 |
20100075504 | METHOD OF TREATING A SEMICONDUCTOR SUBSTRATE - A method of treating a semiconductor substrate has forming convex patterns over the semiconductor substrate by dry etching, cleaning and modifying a surface of the convex patterns by using chemical, forming a hydrophobic functional surface on the modified surface of the convex patterns, after forming the hydrophobic functional surface, rinsing the semiconductor substrate by using water, drying the semiconductor substrate, and removing the hydrophobic functional group from the hydrophobic functional surface of the convex patterns. | 03-25-2010 |
20100120257 | METHOD FOR MAKING MICRO-ELECTRO-MECHANICAL SYSTEM DEVICE - The present invention discloses a method for making a MEMS device, comprising: providing a zero-layer substrate; forming a MEMS device region on the substrate, wherein the MEMS device region is provided with a first sacrificial region to separate a suspension structure of the MEMS device from another part of the MEMS device; removing the first sacrificial region by etching; and micromachining the zero-layer substrate. | 05-13-2010 |
20100184296 | Semiconductor device manufacturing method, wafer treatment system, and recording medium - A semiconductor device manufacturing method includes loading plural dry-etched wafers one by one in a container having a side door so as to be disposed substantially horizontally and in layers vertically therein; and blowing out a purge gas horizontally to those wafers loaded in the container for 30 sec or more after all the subject wafers are loaded in the container while the side door is open. | 07-22-2010 |
20100184297 | METHOD FOR PROTECTING SEMICONDUCTOR WAFER AND PROCESS FOR PRODUCING SEMICONDUCTOR DEVICE - [Task] In a proposed protection method, re-oxidation of a semiconductor wafer is prevented. The method is appropriate for fine patterned semiconductor device. A wafer is dry etched and is subjected to a next step of forming an electrode material film. The dry-etched wafer is maintained not re-oxidized until the next step. The dry etching reaction products are appropriately removed. | 07-22-2010 |
20100190349 | Method for backside polymer reduction in dry-etch process - A method for preventing the formation of contaminating polymeric films on the backsides of semiconductor substrates includes providing an oxygen-impregnated focus ring and/or an oxygen-impregnated chuck that releases oxygen during etching operations. The method further provides delivering oxygen gas to the substrate by mixing oxygen in the cooling gas mixture, maintaining the focus ring at a temperature no greater than the substrate temperature during etching and cleaning the substrate using a two step plasma cleaning sequence that includes suspending the substrate above the chuck. | 07-29-2010 |
20100203734 | METHOD OF PITCH HALVING - The present disclosure provides a method of fabricating a semiconductor device that includes forming a mask layer over a substrate, forming a dummy layer having a first dummy feature and a second dummy feature over the mask layer, forming first and second spacer roofs to cover a top portion of the first and second dummy features, respectively, and forming first and second spacer sleeves to encircle side portions of the first and second dummy features, respectively, removing the first spacer roof and the first dummy feature while protecting the second dummy feature, removing a first end portion and a second end portion of the first spacer sleeve to form spacer fins, and patterning the mask layer using the spacer fins as a first mask element and the second dummy feature as a second mask element. | 08-12-2010 |
20100240218 | SUBSTRATE ETCHING METHOD AND SYSTEM - The etching method includes etching the silicon oxide film by supplying a halogen-containing gas and a basic gas to the substrate so that the silicon oxide film is chemically reacted with the halogen-containing gas and the basic gas to generate a condensation layer; etching silicon by supplying a silicon etching gas, which includes at least one selected from the group consisting of an F | 09-23-2010 |
20100240219 | Method of treating a semiconductor substrate - A method of treating a semiconductor substrate has forming convex patterns over the semiconductor substrate by dry etching, cleaning and modifying a surface of the convex patterns by using chemical, forming a hydrophobic functional surface on the modified surface of the convex patterns, after forming the hydrophobic functional surface, rinsing the semiconductor substrate by using water, drying the semiconductor substrate, and removing the hydrophobic functional group from the hydrophobic functional surface of the convex patterns. | 09-23-2010 |
20100248486 | SOLUTION FOR REMOVING RESIDUE AFTER SEMICONDUCTOR DRY PROCESS AND METHOD OF REMOVING THE RESIDUE USING THE SAME - The present invention provides a residue-removing solution for use after a dry process, the residue-removing solution being capable of preventing minute cracks on a Cu surface, which has heretofore been unresolved with known polymer-removing solutions; and a method for manufacturing semiconductor devices using the residue-removing solution. More specifically, the invention relates to a residue-removing solution for removing residues present on semiconductor substrates after dry etching and/or ashing, the solution containing water and at least one component selected from the group consisting of (a) a keto acid, (b) a keto acid salt, and (c) an aldehyde acid salt; and a method for removing residues using the residue-removing solution. | 09-30-2010 |
20100248487 | METHOD AND APPARATUS FOR ELIMINATION OF MICRO-TRENCHING DURING ETCHING OF A HARDMASK LAYER - Described herein are exemplary methods and apparatuses for etching a nitride layer disposed above a substrate to form trenches without micro-trenching in accordance with one embodiment. The method includes forming openings in a resist layer and one or more dielectric layers. The dielectric layers may be disposed on a hard mask layer (e.g., nitride, polysilicon). Next, the method includes etching openings in the hard mask layer disposed above a substrate layer without micro-trenching. The etching occurs in a process chamber during a main etch with a first process gas mixture having a fluorocarbon gas, a hydrofluorocarbon gas, and an oxygenating gas. Next, the method includes etching openings partially into the substrate without micro-trenching with a second process gas mixture during an over etch having the fluorocarbon gas, the hydrofluorocarbon gas, and the oxygenating gas. | 09-30-2010 |
20100267241 | Process for the production of microelectromechanical systems - Elemental fluorine and carbonyl fluoride are suitable etchants for producing microelectromechanical devices (“MEMS”). They are preferably applied as mixtures with nitrogen and argon. If applied in Bosch-type process, C | 10-21-2010 |
20100279509 | Silicon-based hardmask composition and process of producing semiconductor integrated circuit device using the same - A silicon-based hardmask composition, including an organosilane polymer represented by Formula 1: | 11-04-2010 |
20110014793 | Post-dry etching cleaning liquid composition and process for fabricating semiconductor device - A post-dry etching cleaning liquid composition for cleaning a substrate after dry etching is provided, the cleaning liquid composition containing at least one type of fluorine compound, glyoxylic acid, at least one type of organic acid salt, and water. With regard to the fluorine compound, ammonium fluoride may be used. With regard to the organic acid salt, at least one of ammonium oxalate, ammonium tartarate, ammonium citrate, and ammonium acetate may be used. | 01-20-2011 |
20110053378 | Dielectric Etching - An etchant for dielectrics, such as silicon dioxide, that leaves monocrystalline silicon surface exposed by the etchant free of etch damage, such as etch pits, when the etch is done in the presence of transition metals, such as copper, tungsten, titanium, gold, etc. The etchant comprises hydrofluoric acid and a source of halide anion, such as hydrochloric acid or a metal-halide. The etchant is useful in microelectromechanical system device fabrication and in deprocessing integrated circuits or the like. | 03-03-2011 |
20110059614 | Apparatus and Methods for Enhanced Fluid Delivery on Bevel Etch Applications - An apparatus to supply a plurality of process fluids for processing a substrate in a semiconductor processing chamber is disclosed. The apparatus includes a plurality of process fluid supply valves and a fluid supply network that is defined between a crossover valve and a tuning supply valve. The apparatus further includes a tuning fluid supply being connected to the fluid supply network through the tuning supply valve. Further included with the apparatus is a plurality of process fluids that are connected to the fluid supply network through the plurality of process fluid supply valves. A process chamber that has a substrate support is also included in the apparatus. The process chamber further including an edge fluid supply and a center fluid supply, the edge fluid supply connected to the fluid supply network through an edge enable valve and the center supply connected to the fluid supply network through a center enable valve. Wherein the crossover valve, edge enable valve, and center enable valve allow one of tuning fluid or process fluids to flow to one of the edge fluid supply or the center fluid supply. | 03-10-2011 |
20110143545 | APPARATUS AND METHOD OF TREATING SURFACE OF SEMICONDUCTOR SUBSTRATE - In one embodiment, an apparatus of treating a surface of a semiconductor substrate comprises a substrate holding and rotating unit which holds a semiconductor substrate with a surface having a convex pattern formed thereon and rotates the semiconductor substrate, a first supply unit which supplies a chemical and/or pure water to the surface of the semiconductor substrate, and a second supply unit which supplies a diluted water repellent to the surface of the semiconductor substrate to form a water-repellent protective film on the surface of the convex pattern. The second supply unit comprises a buffer tank which stores the water repellent, a first supply line which supplies a purge gas to the buffer tank, a second supply line which supplies a diluent, a pump which sends off the water repellent within the buffer tank, a third supply line which supplies the water repellent sent off from the pump, and a mixing valve which mixes the diluent and the water repellent to produce the diluted water repellent. | 06-16-2011 |
20110171833 | DRY ETCHING METHOD OF HIGH-K FILM - An object of the invention is to provide a dry etching method of a metal oxide High-k film having etching characteristics which achieve a small etching rate difference and a small profile difference between open area and dense area while keeping a high selectivity to a polysilicon underlying film. In the method of dry-etching a High-k film by using a plasma, a small amount of fluorocarbon gas having a high carbon ratio is added to a BCl | 07-14-2011 |
20110177693 | Reversible Water-Free Process for the Separation of Acid-Containing Gas Mixtures - Gas mixtures which comprise acids like HF, HCl or HBr and other constituents, especially gas mixtures which comprise or consist of carboxylic acid fluorides, C(O)F | 07-21-2011 |
20110183523 | METHOD FOR ELECTRON BEAM INDUCED ETCHING OF LAYERS CONTAMINATED WITH GALLIUM - The invention relates to a method for electron beam induced etching of a layer contaminated with gallium ( | 07-28-2011 |
20110294299 | METHOD AND APPARATUS FOR SILICON OXIDE RESIDUE REMOVAL - A method for removing silicon oxide based residue from a stack with a doped silicon oxide layer with features with diameters less than 100 nm is provided. A wet clean solution of between 25% to 60% by weight of NH | 12-01-2011 |
20110306212 | SUBSTRATE PROCESSING APPARATUS, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SUBSTRATE MANUFACTURING METHOD - Embodiments described herein relate to a substrate processing apparatus includes a reaction tube, a processing chamber provided inside the reaction tube to process a substrate therein, an induction target provided inside the reaction tube to surround the processing chamber and configured to heat the substrate, a heat insulator provided inside the reaction tube to surround the induction target, an induction target provided outside the reaction tube to inductively heat at least the induction target, a first gas supply unit for supplying a first gas into the processing chamber, and a second gas supply unit for supplying a second gas to a first gap provided between the induction target and the heat insulator. | 12-15-2011 |
20110318932 | Pyrolysis Methods, Catalysts, and Apparatuses for Treating and/or Detecting Gas Contaminants - Processes for treating gas streams contaminated with fluorine-containing compounds, in addition to apparatuses for such treatment processes that may also be used to monitor the emission of these compounds, are disclosed. In the processes and apparatuses, catalytic conversion (pyrolysis) one or more fluorine-containing contaminants (e.g., perfluorocarbon) in the gas stream is carried out using a catalyst comprising tungstated zirconia or sulfated zirconia. The catalysts exhibit exceptional responsiveness, recovery, and/or activity, compared to conventional catalysts, for this purpose. | 12-29-2011 |
20120034785 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - According to one embodiment, a semiconductor device manufacturing method includes collectively etching layers of a multilayered film including silicon layers and silicon oxide films alternately stacked on a semiconductor substrate. The etching gas of the etching contains at least two types of group-VII elements and one of a group-III element, a group-IV element, a group-V element, and a group-VI element, the energy of ions entering the semiconductor substrate when performing the etching is not less than 100 eV, and an addition ratio of the group-III element, the group-IV element, the group-V element, the group-VI element, and the group-VII element to the group-VII element is 0.5 (inclusive) to 3.0 (inclusive). | 02-09-2012 |
20120077346 | SILICON CARBIDE SUBSTRATE, EPITAXIAL WAFER AND MANUFACTURING METHOD OF SILICON CARBIDE SUBSTRATE - An SiC substrate includes the steps of preparing a base substrate having a main surface and made of SiC, washing the main surface using a first alkaline solution, and washing the main surface using a second alkaline solution after the step of washing with the first alkaline solution. The SiC substrate has the main surface, and an average of residues on the main surface are equal to or larger than 0.2 and smaller than 200 in number. | 03-29-2012 |
20120094498 | METHOD FOR REDUCING PUNCH-THROUGH DEFECTS - A method for reducing punch-through defects during semiconductor fabrication is disclosed. Various parameters such as partial pressure, total pressure, and temperature are manipulated to reduce punch-through defects, while still maintaining an acceptable etch rate. Some embodiments of the present invention also comprise the use of precursors, such as germane, to achieve faster etch rates with lower etch temperatures. | 04-19-2012 |
20120149206 | PLASMA ETCHING METHOD AND COMPUTER-READABLE STORAGE MEDIUM - In a plasma etching method, a substrate, on which an oxide film as a target layer to be etched, a hard mask layer, and a patterned photoresist are sequentially formed, is loaded into the processing chamber and mounted on a lower electrode. A processing gas containing C | 06-14-2012 |
20120156885 | METHOD AND SYSTEM FOR PROCESSING SEMICONDUCTOR WAFER - In a method for processing a semiconductor wafer formed with a copper conductor, the semiconductor wafer is etched in an etching chamber to expose the copper conductor. The etched semiconductor wafer is transmitted from the etching chamber to a buffer zone, where a gas inert to the semiconductor wafer is introduced for a period of time. Then the semiconductor wafer is moved out of the buffer zone to a loading module. Nitrogen is one of the suitable options as the gas, and argon is another option. | 06-21-2012 |
20120156886 | SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SUBSTRATE, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Production efficiency of a substrate (in particular, a substrate on which a SiC epitaxial film is formed) is improved and formation of the film inside a gas supply port is suppressed. This is accomplished by a substrate processing apparatus including a reaction chamber configured to accommodate a plurality of substrates | 06-21-2012 |
20120156887 | VACUUM PROCESSING APPARATUS AND VACUUM PROCESSING METHOD - A vacuum processing apparatus, comprising: a processing chamber | 06-21-2012 |
20120171870 | WAFER PROCESSING WITH CARRIER EXTENSION - Apparatus for treating wafers using a wafer carrier rotated about an axis is provided with a ring which surrounds the wafer carrier during operation. Treatment gasses directed onto a top surface of the carrier flow outwardly away from the axis over the carrier and over the ring, and pass downstream outside of the ring. The outwardly flowing gasses form a boundary over the carrier and ring. The ring helps to maintain a boundary layer of substantially uniform thickness over the carrier, which promotes uniform treatment of the wafers. | 07-05-2012 |
20120184108 | METHOD OF PROCESSING OF NITRIDE SEMICONDUCTOR WAFER, NITRIDE SEMICONDUCTOR WAFER, METHOD OF PRODUCING NITRIDE SEMICONDUCTOR DEVICE AND NITRIDE SEMICONDUCTOR DEVICE - A nitride semiconductor wafer is planar-processed by grinding a bottom surface of the wafer, etching the bottom surface by, e.g., KOH for removing a bottom process-induced degradation layer, chamfering by a rubber whetstone bonded with 100 wt %-60 wt % #3000-#600 diamond granules and 0 wt %-40 wt % oxide granules, grinding and polishing a top surface of the wafer, etching the top surface for eliminating a top process-induced degradation layer and maintaining a 0.5 μm-10 μm thick edge process-induced degradation layer. | 07-19-2012 |
20120196445 | METHOD AND APPARATUS FOR ETCHING THE SILICON OXIDE LAYER OF A SEMICONDUCTOR SUBSTRATE - An aspect of the invention is to provide a method and apparatus for etching the silicon oxide layer of a semiconductor substrate, whereby the processing time for cleaning or rinsing, as well as any undesired aftereffects by residual hydrofluoric acid, may be reduced, in using the dry etching method involving the use of dense carbon dioxide that contains hydrofluoric acid, during the manufacturing process of a micro-electronic device. | 08-02-2012 |
20120220134 | METHOD FOR CLEARING NATIVE OXIDE - A method for clearing native oxide is described. A substrate is provided, including an exposed portion whereon a native oxide layer has been formed. A clearing process is performed to the substrate using nitrogen trifluoride (NF | 08-30-2012 |
20120231630 | Etching Gas - Disclosed is an etching gas provided containing CHF | 09-13-2012 |
20120238100 | ETCHING METHOD, ETCHING APPARATUS, AND COMPUTER-READABLE RECORDING MEDIUM - Provided is an etching method capable of etching even a silicon film that is included in a multi-layered structure by using a resist film or an organic film as a mask, and also capable of integrally etching the silicon film and a silicon oxide film disposed under the silicon film. The etching method which etches the multi-layered structure including the silicon oxide film and the silicon film formed on the silicon oxide film, includes: integrally etching the silicon film and the silicon oxide film included in the multi-layered structure by using a resist film or an organic film as an etching mask and using an etching gas containing a CH | 09-20-2012 |
20120238101 | SELECTIVITY IN A XENON DIFLUORIDE ETCH PROCESS - A method and an apparatus for etching microstructures and the like that provides improved selectivity to surrounding materials when etching silicon using xenon difluoride (XeF2). Etch selectivity is greatly enhanced with the addition of hydrogen to the process chamber. | 09-20-2012 |
20120244715 | HIGH-SELECTIVITY ETCHING SYSTEM AND METHOD - In a method and system for vapor etching, a material to be etched and an etch resistant material are placed into an etching chamber. Thereafter, a pressure in the etching chamber is adjusted to a desired pressure and the substrate is exposed to an etching gas and a gas that comprises oxygen. The exposure substantially selectively etches the material to be etched while substantially avoiding the etching of the etch resistant material. | 09-27-2012 |
20120270405 | SYSTEMS AND METHODS FOR EXPOSING SEMICONDUCTOR WORKPIECES TO VAPORS FOR THROUGH-HOLE CLEANING AND/OR OTHER PROCESSES - Systems and methods for exposing semiconductor workpieces to vapors for through-hole cleaning and/or other processes are disclosed. A representative method includes exposing a semiconductor workpiece to a vapor, with the semiconductor workpiece having an opening extending from a first surface of the workpiece through the workpiece to a second surface facing opposite from the first surface. The opening can include a contaminant, and the method can further include drawing the vapor and the contaminant through at least a portion of the opening and away from the second surface of the semiconductor workpiece. | 10-25-2012 |
20120276746 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE - A manufacturing method for a semiconductor device includes: arranging a plurality of silicon substrates having a sacrifice layer in a reaction chamber in such a manner that surfaces of silicon substrates face each other; introducing an etching gas into the reaction chamber; reacting the etching gas and the sacrifice layer in each silicon substrate so that the sacrifice layer is dry-etched; and arranging a partition member in the reaction chamber to partition a predetermined range between adjacent silicon substrates. The partition member has a property in such a manner that a water molecule hardly penetrates the partition member. The water molecule is a reaction product between the etching gas and the sacrifice layer. | 11-01-2012 |
20130023125 | METHODS AND APPARATUS FOR ATOMIC LAYER ETCHING - Substrate processing systems and methods for etching an atomic layer are disclosed. The methods and systems are configured to introducing a first gas into the chamber, the gas being an etchant gas suitable for etching the layer and allowing the first gas to be present in the chamber for a period of time sufficient to cause adsorption of at least some of the first gas into the layer. The first gas is substantially replaced in the chamber with an inert gas, and metastables are then generated from the inert gas to etch the layer with the metastables while substantially preventing the plasma charged species from etching the layer. | 01-24-2013 |
20130059444 | GAS CLUSTER ION BEAM ETCHING PROCESS FOR METAL-CONTAINING MATERIALS - A method and system for performing gas cluster ion beam (GCIB) etch processing of metal-containing material is described. In particular, the GCIB etch processing includes forming a GCIB that contains a halogen element. | 03-07-2013 |
20130084705 | METHOD FOR FORMING PATTERN, AND COMPOSITION FOR FORMING RESIST UNDERLAYER FILM - A method for forming a pattern includes providing a resist underlayer film on a substrate using a first composition for forming a resist underlayer film. The first composition includes a polymer having a structural unit represented by a following formula (1). In the formula (1), Ar | 04-04-2013 |
20130089987 | Method of barc removal in semiconductor device manufacturing - A method of removing a high molecular weight organic-comprising hard mask or BARC from a surface of a porous low k dielectric material, where a change in the dielectric constant of the low k dielectric material is less than about 5% after application of the method. The method comprises exposing the organic-comprising hard mask or BARC to nitric acid vapor which contains at least 68% by mass HNO | 04-11-2013 |
20130095665 | SYSTEMS AND METHODS FOR PROCESSING SUBSTRATES - A substrate processing system comprises a first processing module in which a process gas is supplied to a substrate to etch a silicon oxide layer formed on the substrate and a second processing module in which an activated oxygen gas is supplied to the substrate. With the system and a method using the same, the silicon oxide layer can be etched and a condensation layer and/or fumes and/or photoresist residues can be removed in a cost-effective way. | 04-18-2013 |
20130115779 | Conical Sleeves For Reactors - In some embodiments, the present invention discloses sealing mechanisms for generating site isolated regions on a substrate, allowing combinatorial processing without cross contamination between regions. The sealing mechanism can include a thin sharp edge ring for pressing on the substrate surface with small contact area. The small sealing area can concentrate the sealing force, generating higher contact pressure to guard against fluid leakage across the sealing surface, for example, eliminating fluid wicking at the seal interface through capillary action. The sealing mechanism can include multiple protrusions, which contacts the substrate leaving a small gap at the remaining portion of the sealing mechanism. The sealing mechanism can include minimal contact points with the substrate, which can significantly reduce the particle generation during processing. A pressure differential can be established across the sealing surface to prevent fluid leakage. | 05-09-2013 |
20130130505 | Method for the purification of fluorine - Elemental fluorine is often manufactured electrochemically from a solution of KF in hydrogen fluoride and contains varying amounts of entrained electrolyte salt in solid form as impurity. The invention concerns a process for the purification of such impure elemental fluorine by contact with liquid hydrogen fluoride, e.g., in a jet gas scrubber or by bubbling the raw fluorine through liquid hydrogen fluoride. After this purification step, any entrained hydrogen fluoride is removed by adsorption, condensing it out or both. After passing through a filter with very small pores, the purified fluorine is especially suited for the semiconductor industry as etching gas or as chamber cleaning gas in the manufacture of semiconductors, TFTs and solar cells, or for the manufacture of micro-electromechanical systems (“MEMS”). | 05-23-2013 |
20130137271 | SILICON-CONTAINING RESIST UNDERLAYER FILM-FORMING COMPOSITION AND PATTERNING PROCESS - The present invention is a silicon-containing resist underlayer film-forming composition containing a condensation product and/or a hydrolysis condensation product of a mixture comprising: one or more kinds of a compound (A) selected from the group consisting of an organic boron compound shown by the general formula (1) and a condensation product thereof and one or more kinds of a silicon compound (B) shown by the general formula (2). Thereby, there can be provided a silicon-containing resist underlayer film-forming composition being capable of forming a pattern having a good adhesion, forming a silicon-containing film which can be used as a dry-etching mask between a photoresist film which is the upperlayer film of the silicon-containing film and an organic film which is the underlayer film thereof, and suppressing deformation of the upperlayer resist during the time of dry etching of the silicon-containing film; and a patterning process. | 05-30-2013 |
20130137272 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - A method of manufacturing a semiconductor device, includes supplying a first etching gas and a second etching gas having a decomposition rate lower than that of the first etching gas from one end of a substrate accommodating region in a process chamber where a plurality of substrates are stacked while exhausting an inside of a process chamber from other end of the substrate accommodating region; and etching a first portion of the plurality of substrate at the one end of the substrate accommodating region using a portion of radicals generated from the first etching gas and second etching gas, and etching a second portion of the plurality of substrates at the other end of the substrate accommodating region using at least a portion of a remaining radicals of the radicals generated from the first etching gas and second etching gas. | 05-30-2013 |
20130171828 | PROCESSING LIQUID FOR SUPPRESSING PATTERN COLLAPSE OF MICROSTRUCTURE, AND METHOD FOR PRODUCING MICROSTRUCTURE USING SAME - There are provided a processing liquid for suppressing pattern collapse of a microstructure formed of polysilicon which includes at least one compound selected from the group consisting of pyridinium halides containing an alkyl group having 12, 14 or 16 carbon atoms, and water; and a method for producing a microstructure using the processing liquid. | 07-04-2013 |
20130210235 | APPARATUS AND METHOD FOR THE RAPID THERMAL CONTROL OF A WORK PIECE IN LIQUID OR SUPERCRITICAL FLUID - A surface cleaning apparatus comprising a chamber, and a thermal transfer device. The chamber is capable of holding a semiconductor structure therein. The thermal transfer device is connected to the chamber. The thermal transfer device has a surface disposed inside the chamber for contacting the semiconducting structure and controlling a temperature of the semiconductor structure in contact with the surface. The thermal transfer device has a thermal control module connected to the surface for heating and cooling the surface to thermally cycle the surface. The thermal control module effects a substantially immediate thermal response of the surface when thermally recycling the surface. | 08-15-2013 |
20130210236 | SILICON-CONTAINING SURFACE MODIFIER, RESIST UNDERLAYER FILM COMPOSITION CONTAINING THIS, AND PATTERNING PROCESS - The present invention provides a silicon-containing surface modifier wherein the modifier contains one or more of a repeating unit shown by the following general formula (A) and a partial structure shown by the following general formula (C).The present invention has an object to provide a resist underlayer film applicable not only to a negatively developed resist pattern formed by a hydrophilic organic compound but also to a conventional positively developed resist pattern formed by a hydrophobic compound. | 08-15-2013 |
20130224958 | THROUGH HOLE FORMING METHOD - Provided are a method of forming a through hole, which can inhibit misalignment between central axes of holes in both surfaces of a substrate, which is free from metal contamination, and which inhibits notching so as to improve the dimensional accuracy, the method including: preparing a silicon substrate; preparing a supporting substrate for supporting the silicon substrate; fixing the silicon substrate and the supporting substrate to form a composite substrate; and carrying out dry etching to the composite substrate from a silicon substrate side of the composite substrate toward a supporting substrate side of the composite substrate to form a through hole in the silicon substrate, in which the supporting substrate in the preparing a supporting substrate has a hole formed at a region corresponding to a region of the through hole to be formed in the silicon substrate, on a surface of the supporting substrate facing the silicon substrate. | 08-29-2013 |
20130224959 | Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION - Disclosed are a method and a system for processing a semiconductor structure of the type including a substrate, a dielectric layer, and a TaN—Ta liner on the dielectric layer. The method comprises the step of using XeF2 to remove at least a portion of the TaN—Ta liner completely to the dielectric layer. In the preferred embodiments, the present invention uses XeF2 selective gas phase etching as alternatives to Ta—TaN Chemical Mechanical Polishing (CMP) as a basic “liner removal process” and as a “selective cap plating base removal process.” In this first use, XeF2 is used to remove the metal liner, TaN—Ta, after copper CMP. In the second use, the XeF2 etch is used to selectively remove a plating base (TaN—Ta) that was used to form a metal cap layer over the copper conductor. | 08-29-2013 |
20130237061 | METHOD AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device by etching a SiN film on a surface of a substrate by using a gas containing a halogen element includes supplying a gas containing a basic gas at the initial stage of a process for supplying the gas containing the halogen element to the surface of the SiN film. By supplying the gas containing the basic gas at the initial stage of the etching, a SiNO film covering the surface of the SiN film is changed to a film of reaction products mainly including water (H | 09-12-2013 |
20130252432 | PATTERNING METHOD - Provided is a patterning method that can greatly reduce process costs and environmental load. The patterning method includes: a film forming step of forming a functional film ( | 09-26-2013 |
20130260566 | Method of Manufacturing Semiconductor Device, Cleaning Method, Substrate Processing Apparatus and Non-Transitory Computer Readable Recording Medium - Provided is a method of manufacturing a semiconductor device, which efficiently removes a high permittivity film (high-k film). The method of manufacturing a semiconductor device includes: (a) supplying a processing gas containing an organic compound into a process chamber to form a predetermined film on a substrate; (b) supplying a first cleaning gas into the process chamber with the substrate being unloaded from the process chamber to remove films adhered to an inner wall of a reaction tube defining the process chamber and members disposed in the process chamber; (c) supplying a modifying gas into the process chamber after performing (b) to modify a carbon-containing film remaining in a nozzle of the members configured to supply the processing gas; and (d) supplying a second cleaning gas into the process chamber to remove a film obtained by modifying the carbon-containing film in (c). | 10-03-2013 |
20130337653 | SEMICONDUCTOR PROCESSING APPARATUS WITH COMPACT FREE RADICAL SOURCE - A semiconductor processing apparatus ( | 12-19-2013 |
20140065834 | METHOD OF MANUFACTURING MOLD FOR NANO-IMPRINT AND SUBSTRATE FABRICATING METHOD - Provided is a method of manufacturing a mold for nano-imprint, for forming a projection/recess pattern on a surface of a silicon substrate, including: etching a substrate to form the projection/recess pattern on the surface of the silicon substrate by applying dry-etching to the silicon substrate using a hard mask pattern as a mask, in a state of covering the surface of the silicon substrate with the hard mask pattern made of a chromium-based material; and applying dry-etching to the silicon substrate in etching the substrate using a fluorine-based gas as a reactive gas of an etching gas used for the dry-etching applied to the silicon substrate, and adding an inert gas to the etching gas. | 03-06-2014 |
20140113452 | WAFER EDGE TRIMMING METHOD - A wafer edge trimming method comprises steps as follows: Firstly, an etch-resistant layer is formed on a surface of a wafer. A wet treatment process is then performed to remove a portion of the etch-resistant layer, so as to expose a portion of the surface adjacent to an edge of the wafer. Subsequently, an etching process is performed to remove a portion of the wafer that is not covered by the remained etch-resistant layer. | 04-24-2014 |
20140187049 | SHOWERHEAD ELECTRODE ASSEMBLY WITH GAS FLOW MODIFICATION FOR EXTENDED ELECTRODE LIFE - A showerhead electrode assembly for a plasma processing apparatus is provided. The showerhead electrode assembly includes a first member attached to a second member. The first and second members have first and second gas passages in fluid communication. When a process gas is flowed through the gas passages, a total pressure drop is generated across the first and second gas passages. A fraction of the total pressure drop across the second gas passages is greater than a fraction of the total pressure drop across the first gas passages. | 07-03-2014 |
20140206196 | Dry Etching Method - There is provided according to the present invention a dry etching method for a laminated film, the laminated film being formed on a substrate and having a laminated structure in which silicon layers and insulating layers are laminated together with a hole or groove defined therein in a direction perpendicular to a surface of the substrate, the dry etching method comprising etching, with an etching gas, parts of the silicon layers appearing on an inner surface of the hole or groove, characterized in that the etching gas comprises: at least one kind of gas selected from the group consisting of ClF | 07-24-2014 |
20140287590 | Optical Waveguide Structure and Method of Manufacture Thereof - A method of manufacture of an optical waveguide structure including the steps of: providing a multilayer semiconductor wafer including a III-V semiconductor substrate, a III-V semiconductor top layer and an etch stop layer sandwiched therebetween, the etch stop layer including aluminium and phosphorous; and etching through the top layer to the etch stop layer by use of a dry etch containing chlorine to provide two spaced apart recesses defining the optical waveguide therebetween. | 09-25-2014 |
20140357085 | ETCHING METHOD AND NON-TRANSITORY STORAGE MEDIUM - Provided is a method of selectively etching a portion of silicon existing on a surface of a substrate to be processed, which includes: loading the substrate to be processed into a chamber; and supplying an FNO gas and an F | 12-04-2014 |
20150031212 | METHOD FOR OBTAINING EXTREME SELECTIVITY OF METAL NITRIDES AND METAL OXIDES - Methods for etching metal nitrides and metal oxides include using ultradilute HF solutions and buffered, low-pH HF solutions containing a minimal amount of the hydrofluoric acid species H | 01-29-2015 |
20150050812 | WAFER-LESS AUTO CLEAN OF PROCESSING CHAMBER - A method for cleaning a processing chamber, for example, a strip chamber, configured for processing a wafer is provided which includes the steps of introducing an oxygen-containing gas into the processing chamber, generating an oxygen plasma from the oxygen-containing gas in the processing chamber, establishing a pressure of the oxygen plasma in the processing chamber of at least 1 Torr and maintaining the pressure of at least 1 Torr for at least 40 seconds. A system is also provided including a strip chamber for receiving and stripping the wafer and including a gas inlet and plasma generator means, as well as a controller configured for performing, when no wafer is present in the strip chamber, controlling inflow of an oxygen-containing gas into the processing chamber through the gas inlet and controlling the plasma generator means to generate an oxygen plasma. | 02-19-2015 |
20150072533 | Etching Method, Etching Apparatus, and Storage Medium - Provided is a method of etching a silicon oxide film, which includes supplying a mixture gas of a halogen element-containing gas and a basicity gas onto a surface of the silicon oxide film; modifying the silicon oxide film to produce a reaction product; and heating the reaction product to remove the reaction product. Modifying the silicon oxide film and heating the reaction product are performed using one chamber. In heating the reaction product, the reaction product is selectively heated by a heating unit. | 03-12-2015 |
20150111388 | SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS - A substrate processing method for processing a substrate by supplying a processing gas into a processing chamber and allowing the processing gas to react on the substrate in the processing chamber by using a substrate processing apparatus includes the processing chamber accommodating the substrate, a processing gas supply unit for supplying the processing gas into the processing chamber, and a gas exhaust unit, for exhausting the processing chamber, having a turbo molecular pump. The method controls a processing uniformity by controlling a revolution speed of the turbo molecular pump while maintaining a pressure in the processing chamber to a predetermined level when by-products having a larger molecular mass compared to the processing gas are generated by the reaction of the processing gas. | 04-23-2015 |
20150303094 | APPARATUS AND METHOD FOR TREATING SUBSTRATE - Provided are an apparatus and method for treating a substrate. The apparatus for treating the substrate includes a process module including a main process chamber in which main treatment step is performed on the substrate, an index module including a loadport on which a container for accommodating the substrate is placed, an auxiliary process chamber in which auxiliary treating is performed on the substrate, and an index robot for transferring the substrate, and a loadlock chamber disposed between the process module and the index module. The process module, the loadlock chamber, and the auxiliary process chamber are successively disposed in a first direction, and the index robot configured to transfer the substrate into each of the loadport, the auxiliary process chamber, and the loadlock chamber. | 10-22-2015 |
20150325417 | METHODS FOR REMOVING PARTICLES FROM ETCHING CHAMBER - A method includes forming a coating layer in a dry etching chamber, placing a wafer into the dry etching chamber, etching a metal-containing layer of the wafer, and moving the wafer out of the dry etching chamber. After the wafer is moved out of the dry etching chamber, the coating layer is removed. | 11-12-2015 |
20150357164 | PROCESS CHAMBER, METHOD OF PREPARING A PROCESS CHAMBER, AND METHOD OF OPERATING A PROCESS CHAMBER - Process chambers and methods of preparing and operating a process chamber are disclosed. In some embodiments, a method of preparing a process chamber for processing a substrate includes: forming a first barrier layer over an element disposed within a cavity of the process chamber, the element comprising an outgassing material; and forming, within the process chamber, a second barrier layer over the first barrier layer. | 12-10-2015 |
20150357200 | DRY ETCHING METHOD - The present invention is an etching method comprising etching a multilayered laminate film that includes at least one silicon oxide film layer and at least one silicon nitride film layer using an etching gas, the etching method simultaneously etching both the silicon oxide film layer and the silicon nitride film layer, the etching gas comprising a linear saturated fluorohydrocarbon compound represented by a formula (1): C | 12-10-2015 |
20160005612 | Silicon Dry Etching Method - A dry etching method according to the present invention is for etching a silicon layer as a processing target in a processing room, characterized by supplying an iodine heptafluoride-containing etching gas from a gas supply source at a supply pressure of 66 kPa to 0.5 MPa, evacuating the processing room to an internal pressure lower than the supply pressure of the etching gas and, while maintaining the etching gas at the supply pressure, introducing the etching gas into the evacuated processing room so as to etch the silicon layer by the etching gas. It is possible by this dry etching method to etch the silicon upon adiabatic expansion of the etching gas under mild pressure conditions, with no fear of equipment load and equipment cost increase, and achieve good uniformity of in-plane etching amount distribution. | 01-07-2016 |
20160016869 | HIGH-PURITY 2-FLUOROBUTANE - The present invention is a high-purity 2-fluorobutane having a purity of 99.9 vol % or more and a butene content of 1,000 ppm by volume or less, and a method for using the high-purity 2-fluorobutane as a dry etching gas. According to the present invention, a high-purity 2-fluorobutane that is suitable as a plasma reaction gas for semiconductors is provided. | 01-21-2016 |
20160017224 | COMPOSITION FOR ETCHING - The disclosure is related to a composition for etching, a method for manufacturing the composition, and a method for fabricating a semiconductor using the same. The composition may include a first inorganic acid, at least one of silane inorganic acid salts produced by reaction between a second inorganic acid and a silane compound, and a solvent. The second inorganic acid may be at least one selected from the group consisting of a sulfuric acid, a fuming sulfuric acid, a nitric acid, a phosphoric acid, and a combination thereof. | 01-21-2016 |
20160027672 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A substrate processing apparatus performs a predetermined process on a substrate by using a processing gas under a vacuum atmosphere. The substrate processing apparatus includes a chamber configured to accommodate the substrate and to be kept in the vacuum atmosphere; a substrate mounting table configured to mount the substrate thereon in the chamber; a gas introduction member configured to introduce a gas including the processing gas in the chamber; a partition wall member provided to be movable up and down in the chamber and configured to form a partition wall that defines a processing space in a region including the substrate above the substrate mounting table; and an elevating mechanism configured to move the partition wall member up and down. | 01-28-2016 |
20160042966 | METHOD OF REMOVING OXIDE ON SEMICONDUCTOR SURFACE BY LAYER OF SULFUR - Embodiments of the present disclosure relate generally to a method of passivating and/or removing oxides on a semiconductor surface by using ammonium sulfide, the ammonium sulfide is formed by reacting ammonia and hydrogen sulfide in a semiconductor processing chamber, therefore the ammonium sulfide can be used to clean and remove oxides on a semiconductor surface without the concern of ESH and storage, the ammonium sulfide can also be used to passivate a semiconductor surface by forming a layer of sulfur, and thus preventing the reformation of native oxides, the layer of sulfur can be optionally removed to reduce the thickness of the semiconductor material. | 02-11-2016 |
20160079101 | SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM - The present invention provides a structure and a technique through which a reaction heat generated in a substrate process can be absorbed in a low temperature range and a temperature of a substrate support (susceptor) can remain at a predetermined temperature or less. There is provided a substrate processing apparatus including: a substrate support including a heater and a cooling channel; a heater power supply; a thermal detector; a coolant supply unit; a controller configured to control the heater power supply and the coolant supply unit to: supply a first power to the heater without a substrate placed on the substrate support while supplying the coolant to the cooling channel; and supply a second power to the heater with the substrate placed on the substrate support while supplying the coolant to the cooling channel. | 03-17-2016 |
20160104602 | SEMICONDUCTOR MANUFACTURING APPARATUS, SEMICONDUCTOR MANUFACTURING METHOD, AND FLOW RATE ADJUSTING MECHANISM - According to one embodiment, a semiconductor manufacturing apparatus includes a chamber, a substrate support part, a gas supply part, an exhaust port, and a flow rate adjustment part. The gas supply part is configured to supply a gas into a process space above the substrate. The exhaust port is configured to exhaust a gas, which is present inside the chamber, from the chamber. The flow rate adjustment part is provided inside the chamber. The flow rate adjustment part is configured to adjust a flow rate of a gas flowing from the process space to the exhaust port. The flow rate adjustment part is configured to adjust a gas flow rate in two or more different directions in parallel with a surface of the substrate. Amounts of adjustment of the flow rate in each of the directions are different. | 04-14-2016 |
20160111304 | SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD AND STORAGE MEDIUM - There is provided a substrate processing apparatus of performing a predetermined substrate process on a plurality of target substrates under a vacuum atmosphere, including: a plurality of processing parts each configured to perform the substrate process on each of the plurality of target substrates; a gas supply mechanism configured to supply a processing gas to each of the plurality of processing parts; a single exhaust mechanism configured to exhaust the processing gas within the plurality of processing parts; and a control part configured to control the single exhaust mechanism to collectively exhaust the processing gas within the plurality of processing parts, and control the gas supply mechanism to separately supply the processing gas into each of the plurality of processing parts such that a difference between internal pressures of the plurality of processing parts is prevented. | 04-21-2016 |
20160163562 | Etching Method, and Recording Medium - An etching method includes a modification process of supplying a mixture gas to a surface of a silicon oxide film, modifying the silicon oxide film to generate a reaction product, and a heating process of heating and removing the reaction product. The modification process includes a first modification process of supplying the mixture gas containing a gas including a halogen element and an alkaline gas to the surface of the silicon oxide film, and a second modification process of stopping supplying the alkaline gas and supplying the mixture gas containing the gas including the halogen element to the surface of the silicon oxide film. | 06-09-2016 |
20160172204 | DEVICE OF CHANGING GAS FLOW PATTERN AND A WAFER PROCESSING METHOD AND APPARATUS | 06-16-2016 |