Entries |
Document | Title | Date |
20080206923 | Oxide semiconductor target, method of forming the same, method of forming oxide semiconductor layer using the same and method of manufacturing semiconductor device using the same - Provided are a method of forming an oxide semiconductor layer and a method of manufacturing a semiconductor device using the method of forming an oxide semiconductor layer. The method may include mounting an oxide semiconductor target in a chamber; loading a substrate into the chamber; vacuuming the chamber; applying a direct current power to the oxide semiconductor target while injecting oxygen and a sputtering gas into the chamber; and forming an oxide semiconductor layer on a surface of the substrate by applying plasma of the sputtering gas onto the oxide semiconductor target. Here, the oxide semiconductor target may have a resistance of 1 kΩ or less. The oxide semiconductor target may have a composition of x(first oxide).y(second oxide).z(third oxide) where x, y and z are molar ratios. Each of the first through third oxides may be one of Ga | 08-28-2008 |
20080213940 | Methods of forming metal oxide layers, methods of forming gate structures using the same, and methods of forming capacitors using the same - Provided herein are methods of forming a metal oxide layer that include providing an organometallic compound and an oxidizing agent to the substrate to form the metal oxide layer on the substrate. The organometallic compound may have the general formula of M(NR | 09-04-2008 |
20080233675 | METHOD OF FABRICATING NANO-WIRE ARRAY - Provided is a method of fabricating a nano-wire array, including the steps of: depositing a nano-wire solution, which contains nano-wires, on a substrate; forming a first etch region in a stripe shape on the substrate and then patterning the nano-wires; forming drain and source electrode lines parallel to each other with the patterned nano-wires interposed therebetween; forming a plurality of drain electrodes which have one end connected to the drain electrode line and contact at least one of the nano-wires, and forming a plurality of source electrodes, which have one end connected to the source electrode line and contact the nano-wires that contact the drain electrodes; forming a second etch region between pairs of the drain and source electrodes so as to prevent electrical contacts between the pairs of the drain and source electrodes; forming an insulating layer on the substrate; and forming a gate electrode between the drain and source electrodes contacting the nano-wires on the insulating layer. Accordingly, even in an unparallel structure of nano-wires to electrode lines, a large scale nano-wire array is practicable and applicable to an integrated circuit or display unit with nano-wire alignment difficulty, as well as to device applications using flexible substrates. | 09-25-2008 |
20080254569 | Semiconductor Device - One exemplary embodiment includes a semiconductor device. The semiconductor device can include a channel including one or more of a metal oxide including zinc-gallium, cadmium-gallium, cadmium-indium. | 10-16-2008 |
20080280391 | METHODS OF MANUFACTURING MOS TRANSISTORS WITH STRAINED CHANNEL REGIONS - In some methods of manufacturing transistors, a gate electrode and a gate insulation layer pattern are stacked on a substrate. Impurity regions are formed at portions of the substrate that are adjacent to the gate electrode by implanting Group III impurities into the portions of the substrate. A diffusion preventing layer is formed on the substrate and covering the gate electrode. A nitride layer is formed on the diffusion preventing layer. The substrate is thermally treated to form a strained silicon region in the substrate between the impurity regions and to activate the impurities in the impurity regions. A high performance PMOS transistor and/or CMOS transistor may thereby be manufactured on the substrate. | 11-13-2008 |
20080286898 | Material composition having core-shell microstructure used for varistor - A material composition having a core-shell microstructure suitable for manufacturing a varistor having outstanding electrical properties, the core-shell microstructure of the material composition at least comprising a cored-structure made of a conductive or semi-conductive material and a shelled-structure made from a glass material to wrap the cored-structure, and electrical properties of the varistors during low temperature of sintering process can be decided and designated by precisely controlling the size of the grain of the cored-structure and the thickness and insulation resistance of the insulating layer of the shelled-structure of material composition. | 11-20-2008 |
20080299702 | METHOD OF MANUFACTURING ZnO-BASED THIN FILM TRANSISTOR - A ZnO-based thin film transistor (TFT) is provided herein. Also provided is a method for manufacturing the TFT. The ZnO-based TFT is very sensitive to the oxygen concentration present in a channel layer. In order to prevent damage to a channel layer of a bottom gate TFT, and to avoid a deep negative threshold voltage resulting from damage to the channel layer, the method for manufacturing the ZnO-based TFT comprises formation of an etch stop layer or a passivation layer comprising unstable or incompletely bonded oxygen, and annealing the layers to induce an interfacial reaction between the oxide layer and the channel layer and to reduce the carrier concentration. | 12-04-2008 |
20080299703 | Film growth system and method - An apparatus for depositing a solid film onto a substrate from a reagent solution includes a reservoir of reagent solution maintained at a sufficiently low temperature to inhibit homogeneous reactions within the reagent solution. The reagent solution contains multiple ligands to further control temperature stability and shelf life. The chilled solution is dispensed through a showerhead onto a substrate. The substrate is positioned in a holder that has a raised structure peripheral to the substrate to retain or impound a controlled volume (or depth) of reagent solution over the exposed surface of the substrate. The reagent solution is periodically or continuously replenished from the showerhead so that only the part of the solution directly adjacent to the substrate is heated. A heater is disposed beneath the substrate and maintains the substrate at an elevated temperature at which the deposition of a desired solid phase from the reagent solution may be initiated. The showerhead may also dispense excess chilled reagent solution to cool various components within the apparatus and minimize nucleation of solids in areas other than on the substrate. The apparatus and its associated method of use are particularly suited to forming films of II-VI semiconductors. | 12-04-2008 |
20080305575 | THIN FILM TRANSISTOR HAVING OXIDE SEMICONDUCTOR LAYER AND MANUFACTURING METHOD THEREOF - A thin film transistor has a semiconductor thin film including zinc oxide, a protection film formed on entirely the upper surface of the semiconductor thin film, a gate insulating film formed on the protection film, a gate electrode formed on the gate insulating film above the semiconductor thin film, and a source electrode and drain electrode formed under the semiconductor thin film so as to be electrically connected to the semiconductor thin film. | 12-11-2008 |
20090011537 | Semiconductor device and method for manufacturing same - The present invention is to obtain an MIS transistor which allows considerable reduction in threshold fluctuation for each transistor and has a low threshold voltage. First gate electrode material for nMIS and second gate electrode material for pMIS can be mutually converted to each other, so that a process can be simplified. Such a fact that a dependency of a work function on a doping amount is small is first disclosed, so that fluctuation in threshold voltage for each transistor hardly occurs. | 01-08-2009 |
20090061559 | MANUFACTURE METHOD FOR ZnO-CONTAINING COMPOUND SEMICONDUCTOR LAYER - A manufacture method for a ZnO-containing compound semiconductor layer has the steps of: (a) preparing a substrate; and (b) growing a ZnO-containing semiconductor layer above the substrate by supplying at the same time at least Zn and O as source gases and S as surfactant. There is provided the manufacture method for the ZnO-containing compound semiconductor layer with improved flatness. | 03-05-2009 |
20090075421 | Wet etching of zinc tin oxide thin films - A method of wet etching semiconductor zinc tin oxide includes submerging a semiconductor zinc tin oxide film in a bath solution. The film is partially covered with a pattern of protective material, and the bath solution etches semiconductor zinc tin oxide film not covered by the protective material. A system for wet etching semiconductor zinc tin oxide includes a bath containing a bath solution. The bath solution is effective to wet etch the semiconductor zinc tin oxide. | 03-19-2009 |
20090081826 | PROCESS FOR MAKING DOPED ZINC OXIDE - The present invention relates to a process of making a zinc-oxide-based thin film semiconductor, for use in a transistor, comprising thin film deposition onto a substrate comprising providing a plurality of gaseous materials comprising first, second, and third gaseous materials, wherein the first gaseous material is a zinc-containing volatile material and the second gaseous material is reactive therewith such that when one of the first or second gaseous materials are on the surface of the substrate the other of the first or second gaseous materials will react to deposit a layer of material on the substrate, wherein the third gaseous material is inert and wherein a volatile indium-containing compound is introduced into the first reactive gaseous material or a supplemental gaseous material. | 03-26-2009 |
20090081827 | PROCESS FOR SELECTIVE AREA DEPOSITION OF INORGANIC MATERIALS - An atomic-layer-deposition process for forming a patterned thin film comprising providing a substrate, applying a deposition inhibitor material to the substrate, wherein the deposition inhibitor material is an organic compound or polymer; and patterning the deposition inhibitor material either after step (b) or simultaneously with applying the deposition inhibitor material to provide selected areas of the substrate effectively not having the deposition inhibitor material. An inorganic thin film material is substantially deposited only in the selected areas of the substrate not having the deposition inhibitor material. | 03-26-2009 |
20090124042 | ZnO BASED SEMICONDUCTOR DEVICE MANUFACTURE METHOD - A manufacture method for a ZnO based semiconductor device includes the steps of: (a) preparing a ZnO based semiconductor wafer including a ZnO based semiconductor substrate having a wurzeit structure with a +C plane on one surface and a −C plane on an opposite surface, a first ZnO based semiconductor layer having a first conductivity type epitaxially grown above the +C plane of the ZnO based semiconductor substrate, and a second ZnO based semiconductor layer having a second conductivity type opposite to the first conductivity type epitaxially grown above the first semiconductor layer; and (b) wet-etching the ZnO based semiconductor wafer with acid etching liquid to etch the −C plane of the ZnO based semiconductor substrate | 05-14-2009 |
20090148982 | Method of Manufacturing Compound Semiconductor Devices - A compound semiconductor device and method of manufacturing the same. The method includes coating a plurality of spherical balls on a substrate and selectively growing a compound semiconductor thin film on the substrate on which the spherical balls are coated. The entire process can be simplified and a high-quality compound semiconductor thin film can be grown in a short amount of time in comparison to an epitaxial lateral overgrowth (ELO) method. | 06-11-2009 |
20090186443 | METHOD TO ENHANCE PERFORMANCE OF COMPLEX METAL OXIDE PROGRAMMABLE MEMORY - A method of incorporating oxygen vacancies near an electrode/oxide interface region of a complex metal oxide programmable memory cell which includes forming a first electrode of a metallic material which remains metallic upon oxidation, forming a second electrode facing the first electrode, forming an oxide layer in between the first and second electrodes, applying an electrical signal to the first electrode such that oxygen ions from the oxide layer are embedded in and oxidize the first electrode, and forming oxygen vacancies near the electrode/oxide interface region of the complex metal oxide programmable memory cell. | 07-23-2009 |
20090186444 | Transistor, method of manufacturing transistor, and method of operating transistor - A transistor in which a physical property of its channel is changed according to an applied voltage, and methods of manufacturing and operating the same are provided. The transistor may include a first conductive layer on a substrate, a phase change layer and a second conductive layer which are sequentially stacked on the first conductive layer, a first current direction limiting unit and a second current direction limiting unit formed on the second conductive layer by being separated within a space, a third conductive layer and a fourth conductive layer formed on the first current direction limiting unit and the second current direction limiting unit, respectively, a word line connected to the third conductive layer, a bit line connected to the fourth conductive layer, and a voltage lowering unit connected to the word line. | 07-23-2009 |
20090186445 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - To provide a semiconductor device in which a defect or fault is not generated and a manufacturing method thereof even if a ZnO semiconductor film is used and a ZnO film to which an n-type or p-type impurity is added is used for a source electrode and a drain electrode. The semiconductor device includes a gate insulating film formed by using a silicon oxide film or a silicon oxynitride film over a gate electrode, an Al film or an Al alloy film over the gate insulating film, a ZnO film to which an n-type or p-type impurity is added over the Al film or the Al alloy film, and a ZnO semiconductor film over the ZnO film to which an n-type or p-type impurity is added and the gate insulating film. | 07-23-2009 |
20090203166 | Zinc Oxide Materials and Methods for Their Preparation - A method for preparing p-type zinc oxide (ZnO) is described. The p-type ZnO is prepared by implanting low energy acceptor ions into an n-type ZnO substrate and annealing. In an alternative embodiment, the n-type ZnO substrate is pre-doped by implanting low energy donor ions. The p-type ZnO may have application in various optoelectronic devices, and a p-n junction formed from the p-type ZnO prepared as described above and a bulk n-type ZnO substrate is also described. | 08-13-2009 |
20090239335 | Semiconductor Device and Manufacturing Method Thereof - An object is to provide a semiconductor device of which a manufacturing process is not complicated and by which cost can be suppressed, by forming a thin film transistor using an oxide semiconductor film typified by zinc oxide, and a manufacturing method thereof. For the semiconductor device, a gate electrode is formed over a substrate; a gate insulating film is formed covering the gate electrode; an oxide semiconductor film is formed over the gate insulating film; and a first conductive film and a second conductive film are formed over the oxide semiconductor film. The oxide semiconductor film has at least a crystallized region in a channel region. | 09-24-2009 |
20090253229 | Method and Apparatus for Manufacturing Semiconductor Devices - A semiconductor device manufacturing method according to the present invention uses a first raw material gas containing Si, a second raw material gas containing a metal element M and an oxidation gas, in which a first step of supplying the oxidation gas onto a substrate to be treated, and a second step of supplying the first raw material gas are sequentially performed. The method further includes, after the first and second steps, a step of supplying the second raw material gas or gas mixture of the first raw material gas and the second raw material gas. | 10-08-2009 |
20090269879 | Metalorganic Chemical Vapor Deposition of Zinc Oxide - A method of metalorganic chemical vapor deposition includes converting a condensed matter source to provide a first gas, the source including at least one element selected from the group consisting of gold, silver and potassium. The method further includes providing a second gas comprising zinc and a third gas comprising oxygen, transporting the first gas, the second gas, and the third gas to a substrate, and forming a p-type zinc-oxide based semiconductor layer on the substrate. | 10-29-2009 |
20090269880 | METHOD FOR MANUFACTURING THIN FILM TRANSISTOR - A method for manufacturing a thin film transistor containing an channel layer | 10-29-2009 |
20090269881 | MANUFACTURING METHOD OF THIN FILM TRANSISTOR INCLUDING LOW RESISTANCE CONDUCTIVE THIN FILMS - A manufacturing method of a thin film transistor includes forming a pair of source/drain electrodes on a substrate, such that the source/drain electrodes define a gap therebetween; forming low resistance conductive thin films, which define a gap therebetween, on the source/drain electrodes; and forming an oxide semiconductor thin film layer on upper surface of the low resistance conductive thin films and in the gap defined between the low resistance conductive thin films so that the oxide semiconductor thin film layer functions as a channel. The low resistance conductive thin films and the oxide semiconductor thin film layer are etched so that side surfaces of the resistance conductive thin films and corresponding side surfaces of the oxide semiconductor thin film layer coincide with each other in a channel width direction of the channel. A gate electrode is mounted over the oxide semiconductor thin film layer. | 10-29-2009 |
20090275169 | SEMICONDUCTOR DEVICES AND METHODS OF FORMING THE SAME - A semiconductor device which includes a reaction prevention layer between a resistive memory element and an insulating layer and a method of forming the same. | 11-05-2009 |
20090280600 | AMORPHOUS OXIDE AND THIN FILM TRANSISTOR - The present invention relates to an amorphous oxide and a thin film transistor using the amorphous oxide. In particular, the present invention provides an amorphous oxide having an electron carrier concentration less than 10 | 11-12-2009 |
20090286351 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE INCLUDING ACTIVE LAYER OF ZINC OXIDE WITH CONTROLLED CRYSTAL LATTICE SPACING - A manufacturing method of a semiconductor device includes forming an oxide semiconductor thin film layer of zinc oxide, wherein at least a portion of the oxide semiconductor thin film layer in an as-deposited state includes lattice planes having a preferred orientation along a direction perpendicular to the substrate and a lattice spacing d | 11-19-2009 |
20090291523 | Method of Manufacturing High Quality ZnO Monocrystal Film on Silicon(111) Substrate - There is provided a method of manufacturing high quality ZnO manufacturing film on silicon (111) substrate, including the following steps: removing silicon oxide on the surface of silicon (111) substrate; depositing metal monocrystal film having 1-10 nm thickness, such as Mg, Ca, Sr, Cd etc, at low temperature; oxiding the metal film at low temperature to obstain metal oxide monocrystal layer; depositing ZnO buffer layer at low temperature; depositing ZnO epitaxial layer at high temperature. The ZnO film is suitable for fabrication of high performance of photoelectron device. | 11-26-2009 |
20090298225 | Doped Metal Oxide Films and Systems for Fabricating the Same - A method of fabricating a doped metal oxide film comprising the steps of: (a) providing a semiconductor substrate in a vacuum chamber; (b) generating plasma comprising at least metal (M) , oxygen (O) and dopant ions within said chamber in the presence of an inert carrier gas; (c) forming a doped metal oxide (MO) film on said substrate from said plasma; and (d) controlling, during step (c) , the amount of O ions relative to said dopant ions within said plasma to form at least one of an n-type MO film and a p-type MO film on said substrate. A system for fabricating the doped metal oxide is also disclosed. | 12-03-2009 |
20090298226 | METHOD FOR PRODUCING SEMICONDUCTOR DEVICE - During a process of forming an active layer of a semiconductor device using a ZnO film, the ZnO film is laser-annealed with an ultraviolet pulsed laser to reduce the resistance of the film, and then oxidation treatment is applied to increase the specific resistance value at a channel portion of the ZnO film, which once has excessively low resistance after the laser annealing, to 10 | 12-03-2009 |
20090305461 | Semiconductor Device And Manufacturing Method Thereof - An object is to provide a semiconductor device of which a manufacturing process is not complicated and by which cost can be suppressed, by forming a thin film transistor using an oxide semiconductor film typified by zinc oxide, and a manufacturing method thereof. For the semiconductor device, a gate electrode is formed over a substrate; a gate insulating film is formed covering the gate electrode; an oxide semiconductor film is formed over the gate insulating film; and a first conductive film and a second conductive film are formed over the oxide semiconductor film. The oxide semiconductor film has at least a crystallized region in a channel region. | 12-10-2009 |
20090317943 | Alignment of Semiconducting Nanowires on Metal Electrodes - The present invention relates to a method for aligning semiconducting nanowires on a metal electrode ( | 12-24-2009 |
20090325341 | PRODUCTION METHOD OF THIN FILM TRANSISTOR USING AMORPHOUS OXIDE SEMICONDUCTOR FILM - A production method of a thin film transistor including an active layer including an amorphous oxide semiconductor film, wherein a step of forming the active layer includes a first step of forming the oxide film in an atmosphere having an introduced oxygen partial pressure of 1×10 | 12-31-2009 |
20100003782 | Methods Of Forming A Non-Volatile Resistive Oxide Memory Cell And Methods Of Forming A Non-Volatile Resistive Oxide Memory Array - A method of forming a non-volatile resistive oxide memory cell includes forming a first conductive electrode of the memory cell as part of a substrate. Metal oxide-comprising material is formed over the first conductive electrode. Etch stop material is deposited over the metal oxide-comprising material. Conductive material is deposited over the etch stop material. A second conductive electrode of the memory cell which comprises the conductive material received is formed over the etch stop material. Such includes etching through the conductive material to stop relative to the etch stop material and forming the non-volatile resistive oxide memory cell to comprise the first and second conductive electrodes having both the metal oxide-comprising material and the etch stop material therebetween. Other implementations are contemplated. | 01-07-2010 |
20100003783 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - To provide a semiconductor device in which a defect or fault is not generated and a manufacturing method thereof even if a ZnO semiconductor film is used and a ZnO film to which an n-type or p-type impurity is added is used for a source electrode and a drain electrode. The semiconductor device includes a gate insulating film formed by using a silicon oxide film or a silicon oxynitride film over a gate electrode, an Al film or an Al alloy film over the gate insulating film, a ZnO film to which an n-type Or p-type impurity is added over the Al film or the Al alloy film, and a ZnO semiconductor film over the ZnO film to which an n-type or p-type impurity is added and the gate insulating film. | 01-07-2010 |
20100015758 | Nonvolatile Memory Device and Fabrication Method Thereof - A nonvolatile memory device and a method for its fabrication may ensure uniform operating characteristics of ReRAM. The ReRam may include a laminated resistance layer that determines phase of ReRAM on an upper edge of a lower electrode for obtaining a stable threshold drive voltage level. | 01-21-2010 |
20100035378 | ETCHING METHOD, PATTERN FORMING PROCESS, THIN-FILM TRANSISTOR FABRICATION PROCESS, AND ETCHING SOLUTION - An etching method is provided in which selective etching can be carried out for an amorphous oxide semiconductor film including at least one of gallium and zinc, and indium. In the etching method, the selective etching is performed using an alkaline etching solution. The alkaline etching solution contains especially ammonia in a specific concentration range. | 02-11-2010 |
20100035379 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To provide a method by which a semiconductor device including a thin film transistor with excellent electric characteristics and high reliability is manufactured with a small number of steps. After a channel protective layer is formed over an oxide semiconductor film containing In, Ga, and Zn, a film having n-type conductivity and a conductive film are formed, and a resist mask is formed over the conductive film. The conductive film, the film having n-type conductivity, and the oxide semiconductor film containing In, Ga, and Zn are etched using the channel protective layer and gate insulating films as etching stoppers with the resist mask, so that source and drain electrode layers, a buffer layer, and a semiconductor layer are formed. | 02-11-2010 |
20100041179 | Forming Substrate Structure by Filling Recesses with Deposition Material - A substrate structure is produced by forming a first material layer on a substrate having a recess, removing the first material layer from the portion of the substrate except for the recess using a second material that reacts with the first material, and forming a deposition film from the first material layer using a third material that reacts with the first material. A method of manufacturing a device may include the method of forming a substrate structure. | 02-18-2010 |
20100055832 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To provide a method for manufacturing a thin film transistor in which contact resistance between an oxide semiconductor layer and source and drain electrode layers is small, the surfaces of the source and drain electrode layers are subjected to sputtering treatment with plasma and an oxide semiconductor layer containing In, Ga, and Zn is formed successively over the source and drain electrode layers without exposure of the source and drain electrode layers to air. | 03-04-2010 |
20100099216 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to establish a processing technique in manufacture of a semiconductor device in which an oxide semiconductor is used. A gate electrode is formed over a substrate, a gate insulating layer is formed over the gate electrode, an oxide semiconductor layer is formed over the gate insulating layer, the oxide semiconductor layer is processed by wet etching to form an island-shaped oxide semiconductor layer, a conductive layer is formed to cover the island-shaped oxide semiconductor layer, the conductive layer is processed by dry etching to form a source electrode, and a drain electrode and part of the island-shaped oxide semiconductor layer is removed by dry etching to form a recessed portion in the island-shaped oxide semiconductor layer. | 04-22-2010 |
20100099217 | Semiconductor Device, Electronic Device, and Method of Manufacturing Semiconductor Device - Conductive layers having knots are adjacently formed with uniform distance therebetween. Droplets of the conductive layers are discharged to stagger centers of the droplets in a length direction of wirings so that the centers of the discharged droplets are not on the same line in a line width direction between the adjacent conductive layers. Since the centers of the droplets are staggered, parts of the conductive layers each having a widest line width (the widest width of knot) are not connected to each other, and the conductive layers can be formed adjacently with a shorter distance therebetween. | 04-22-2010 |
20100099218 | Method of fabricating a resistance based memory device and the memory device - Example embodiments relate to a method of fabricating a memory device and a memory device. The method of fabricating a memory device comprises forming a lower electrode and an oxide layer on a lower structure and radiating an energy beam on a region of the oxide layer. The memory device comprises a lower structure and an oxide layer and a lower structure formed on the lower structure, the oxide layer including an electron beam radiation region that received radiation from an electron beam source creating an artificially formed current path through the oxide layer to the lower electrode. A reset current of the memory device may be decreased and stabilized. | 04-22-2010 |
20100105162 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In a method for manufacturing a semiconductor device including a channel-etched inverted-staggered thin film transistor, an oxide semiconductor film and a conductive film are etched using a mask layer formed with the use of a multi-tone mask which is a light-exposure mask through which light is transmitted so as to have a plurality of intensities. The etching step is performed by dry etching in which an etching gas is used. | 04-29-2010 |
20100105163 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to manufacture a semiconductor device including an oxide semiconductor at low cost with high productivity in such a manner that a photolithography process is simplified by reducing the number of light-exposure masks. In a method for manufacturing a semiconductor device including a channel-etched inverted-staggered thin film transistor, an oxide semiconductor film and a conductive film are etched using a mask layer formed with the use of a multi-tone mask which is a light-exposure mask through which light is transmitted so as to have a plurality of intensities. In etching steps, a first etching step is performed by dry etching in which an etching gas is used, and a second etching step is performed by wet etching in which an etchant is used. | 04-29-2010 |
20100105164 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to manufacture a semiconductor device including an oxide semiconductor at low cost with high productivity in such a manner that a photolithography process is simplified by reducing the number of light-exposure masks. In a method for manufacturing a semiconductor device including a channel-etched inverted-stagger thin film transistor, an oxide semiconductor film and a conductive film are etched using a mask layer formed with the use of a multi-tone mask which is a light-exposure mask through which light is transmitted so as to have a plurality of intensities. The etching step is performed by wet etching in which an etching solution is used. | 04-29-2010 |
20100105165 | MULTILEVEL-CELL MEMORY STRUCTURES EMPLOYING MULTI-MEMORY LAYERS WITH TUNGSTEN OXIDES AND MANUFACTURING METHOD - The present invention provides multilevel-cell memory structures with multiple memory layer structures where each memory layer structure includes a tungsten oxide region that defines different read current levels for a plurality of logic states. Each memory layer structure can provide two bits of information, which constitutes four logic states, by the use of the tungsten oxide region that provides multilevel-cell function in which the four logic states equate to four different read current levels. A memory structure with two memory layer structures would provide four bits of storage sites and 16 logic states. In one embodiment, each of the first and second memory layer structures includes a tungsten oxide region extending into a principle surface of a tungsten plug member where the outer surface of the tungsten plug is surrounded by a barrier member. | 04-29-2010 |
20100120197 | METHODS OF MAKING THIN FILM TRANSISTORS COMPRISING ZINC-OXIDE-BASED SEMICONDUCTOR MATERIALS - A thin film transistor comprises a zinc-oxide-containing semiconductor material. Such transistors can further comprise spaced apart first and second contact means or electrodes in contact with said material. Further disclosed is a process for fabricating a thin film transistor device, wherein the substrate temperature is no more than 300° C. during fabrication. | 05-13-2010 |
20100136743 | Semiconductor Device and Manufacturing Method Thereof - An object is to provide a semiconductor device of which a manufacturing process is not complicated and by which cost can be suppressed, by forming a thin film transistor using an oxide semiconductor film typified by zinc oxide, and a manufacturing method thereof. For the semiconductor device, a gate electrode is formed over a substrate; a gate insulating film is formed covering the gate electrode; an oxide semiconductor film is formed over the gate insulating film; and a first conductive film and a second conductive film are formed over the oxide semiconductor film. The oxide semiconductor film has at least a crystallized region in a channel region. | 06-03-2010 |
20100144088 | METHOD FOR FORMING METAL OXIDE AND METHOD FOR FORMING TRANSISTOR STRUCTURE WITH THE SAME - Provided is a method for forming a metal oxide. A method for forming a metal oxide according to embodiments of the present invention includes preparing a metal oxide precursor solution including a dopant chemical species, preparing an alcohol-based solution including a basic chemical species, reacting the alcohol-based solution with the metal oxide precursor solution to form a reactant, and purifying the reactant to form a metal oxide. | 06-10-2010 |
20100144089 | METHOD OF FABRICATING OXIDE SEMICONDUCTOR DEVICE - A method for fabricating a device using an oxide semiconductor, including a process of forming the oxide semiconductor on a substrate and a process of changing the conductivity of the oxide semiconductor by irradiating a predetermined region thereof with an energy ray. | 06-10-2010 |
20100144090 | PHASE CHANGE MEMORY DEVICES HAVING DUAL LOWER ELECTRODES AND METHODS OF FABRICATING THE SAME - A semiconductor device includes a semiconductor substrate and a lower interlayer insulating layer disposed on the substrate. An opening passing through the lower interlayer insulating layer and exposing the substrate is included. A buried insulating pattern is disposed in the opening. First and second conductive layer patterns are sequentially stacked to surround the sidewall and bottom of the buried insulating pattern. A phase change material pattern is included, which is disposed on the lower interlayer insulating layer in contact with a top surface of the second conductive layer pattern, and spaced apart from the first conductive layer pattern. An upper interlayer insulating layer covering the lower interlayer insulating layer and the phase change material pattern is included. A conductive plug is included, which passes through the upper interlayer insulating layer and is electrically connected to the phase change material pattern. A method of fabricating the semiconductor device is also provided. | 06-10-2010 |
20100159639 | METHOD FOR MANUFACTURING TRANSISTOR - A hydrogen barrier layer is selectively provided over an oxide semiconductor layer including hydrogen and hydrogen is selectively desorbed from a given region in the oxide semiconductor layer by conducting oxidation treatment, so that regions with different conductivities are formed in the oxide semiconductor layer. After that, a channel formation region, a source region, and a drain region can be formed with the use of the regions with different conductivities formed in the oxide semiconductor layer. | 06-24-2010 |
20100159640 | METHOD AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method and apparatus for manufacturing a semiconductor device is disclosed, which is capable of realizing an extension of a cleaning cycle for a processing chamber, the method comprising preheating a substrate; placing the preheated substrate onto a substrate-supporting unit provided in a susceptor while the preheated substrate is maintained at a predetermined height from an upper surface of the susceptor provided in a processing chamber; and forming a thin film on the preheated substrate, wherein a temperature of the preheated substrate is higher than a processing temperature for forming the thin film in the processing chamber. | 06-24-2010 |
20100159641 | Memory cell formation using ion implant isolated conductive metal oxide - Memory cell formation using ion implant isolated conductive metal oxide is disclosed, including forming a bottom electrode below unetched conductive metal oxide layer(s), forming the unetched conductive metal oxide layer(s) including depositing at least one layer of a conductive metal oxide (CMO) material (e.g., PrCaMnO | 06-24-2010 |
20100159642 | Methods of manufacturing oxide semiconductor thin film transistor - Provided is a method of manufacturing an oxide semiconductor thin film transistor using a transparent oxide semiconductor as a material for a channel. The method of manufacturing the oxide semiconductor thin film transistor includes forming a passivation layer on a channel layer and performing an annealing process for one hour or more at a temperature of about 100° C. or above. | 06-24-2010 |
20100167462 | METHOD FOR MANUFACTURING RESISTANCE RAM DEVICE - Manufacturing a resistance RAM device includes the steps of forming an insulation layer on a semiconductor substrate having a bottom electrode contact; etching the insulation layer to define a hole exposing the bottom electrode contact; depositing sequentially a bottom electrode material layer and a TMO material layer selectively within the hole; depositing a top electrode material layer within the hole and on the insulation layer in such a way as to completely fill the hole in which the bottom electrode material layer and the TMO material layer are formed; removing partial thicknesses of the top electrode material layer and the insulation layer to form a stack pattern comprising a bottom electrode, a TMO, and a top electrode. | 07-01-2010 |
20100167463 | Method for Fabricating Resistive Memory Device - A method for fabricating a resistive memory device includes forming a lower electrode including a metal nitride layer over a substrate, forming a metal oxide layer used as a variable resistance material by oxidizing a part of the metal nitride layer, and forming an upper electrode on the metal oxide layer. | 07-01-2010 |
20100167464 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An object is to reduce a capacitance value of parasitic capacitance without decreasing driving capability of a transistor in a semiconductor device such as an active matrix display device. Further, another object is to provide a semiconductor device in which the capacitance value of the parasitic capacitance was reduced, at low cost. An insulating layer other than a gate insulating layer is provided between a wiring which is formed of the same material layer as a gate electrode of the transistor and a wiring which is formed of the same material layer as a source electrode or a drain electrode. | 07-01-2010 |
20100178729 | Resistance-Type Random Access Memory Device Having Three-Dimensional Bit Line and Word Line Patterning - Provided is a resistance random access memory device and a method of fabricating, the same. The method includes forming a bit-line stack in which a plurality of local bit-lines are vertically stacked on a substrate, forming a word-line including a plurality of local word-lines that extend in a vertical direction toward a side of the bit-line stack and a connection line that extends in a horizontal direction to connect the plurality of local word-lines with one another, and forming a resistance memory thin film between the bit-line stack and the word-line. The present inventive concept can realize a highly dense memory array with 3D cross-point architecture by simplified processes. | 07-15-2010 |
20100184253 | PROCESS FOR MANUFACTURING THIN FILM TRANSISTOR - Disclosed is a process for manufacturing a thin film transistor, the process comprising the steps of providing an oxide semiconductor precursor solution for an oxide semiconductor layer in which an oxide semiconductor precursor is dissolved in a solvent, coating the oxide semiconductor precursor solution on a substrate to form an oxide semiconductor precursor layer, patterning the oxide semiconductor precursor layer so that the oxide semiconductor precursor layer remains at portions where the oxide semiconductor layer is to be formed, and heating the remaining oxide semiconductor precursor layer to form the oxide semiconductor layer. | 07-22-2010 |
20100184254 | Method for Manufacturing Semiconductor Device - An object is to provide a method for manufacturing a semiconductor device, in which the number of photolithography steps can be reduced, the manufacturing process can be simplified, and manufacturing can be performed with high yield at low cost. A method for manufacturing a semiconductor device includes the following steps: forming a semiconductor film; irradiating a laser beam by passing the laser beam through a photomask including a shield for shielding the laser beam; subliming a region which has been irradiated with the laser beam through a region in which the shield is not formed in the photomask in the semiconductor film; forming an island-shaped semiconductor film in such a way that a region which is not irradiated with the laser beam is not sublimed because it is a region in which the shield is formed in the photomask; forming a first electrode which is one of a source electrode and a drain electrode and a second electrode which is the other one of the source electrode and the drain electrode; forming a gate insulating film; and forming a gate electrode over the gate insulating film. | 07-22-2010 |
20100203673 | METHOD FOR MANUFACTURING FIELD-EFFECT TRANSISTOR - A method for manufacturing a field-effect transistor is provided. The field-effect transistor includes on a substrate a source electrode, a drain electrode, an oxide semiconductor layer, an insulating layer and a gate electrode. The method includes, after forming the insulating layer on the oxide semiconductor layer, an annealing step of increasing the electrical conductivity of the oxide semiconductor layers by annealing in an atmosphere containing moisture. The steam pressure at the annealing step is higher than the saturated vapor pressure in the atmosphere at the annealing temperature. | 08-12-2010 |
20100203674 | METHODS OF FABRICATING NANOSTRUCTURED ZnO ELECTRODES FOR EFFICIENT DYE SENSITIZED SOLAR CELLS - The present invention provides methods of forming metal oxide semiconductor nanostructures and, in particular, zinc oxide (ZnO) semiconductor nanostructures, possessing high surface area, plant-like morphologies on a variety of substrates. Optoelectronic devices, such as photovoltaic cells, incorporating the nanostructures are also provided. | 08-12-2010 |
20100210069 | Solution composition and method of forming thin film and method of manufacturing thin film transistor using the solution composition - Disclosed is a solution composition for forming a thin film transistor including a zinc-containing compound, an indium-containing compound, and a compound including at least one metal or metalloid selected from the group consisting of hafnium (Hf), magnesium (Mg), tantalum (Ta), cerium (Ce), lanthanum (La), silicon (Si), germanium (Ge), vanadium (V), niobium (Nb), and yttrium (Y). A method of forming a thin film by using the solution composition, and a method of manufacturing thin film transistor including the thin film are also disclosed. | 08-19-2010 |
20100210070 | METHOD OF MANUFACTURING A FIELD EFFECT TRANSISTOR HAVING AN OXIDE SEMICONDUCTOR - A method of manufacturing a field effect transistor, which has high alignment accuracy between a gate electrode and source and drain electrodes and can provide a transparent device at a low cost. Since a patterned light blocking film is formed on the rear side of a substrate and used as a photomask for forming a gate electrode pattern and a source and drain electrode pattern on the front side of the substrate, the number of photomasks is reduced, and self-alignment between the gate electrode and the source and drain electrodes is carried out, thereby improving the alignment accuracy of these electrodes. Thereby, a method of manufacturing a high-accuracy low-cost field effect transistor can be provided. | 08-19-2010 |
20100216279 | METHOD OF A MULTI-LEVEL CELL RESISTANCE RANDOM ACCESS MEMORY WITH METAL OXIDES - A method and structure of a bistable resistance random access memory comprise a plurality of programmable resistance random access memory cells where each programmable resistance random access memory cell includes multiple memory members for performing multiple bits for each memory cell. The bistable RRAM includes a first resistance random access member connected to a second resistance random access member through interconnect metal liners and metal oxide strips. The first resistance random access member has a first resistance value Ra, which is determined from the thickness of the first resistance random access member based on the deposition of the first resistance random access member. The second resistance random access member has a second resistance value Rb, which is determined from the thickness of the second resistance random access member based on the deposition of the second resistance random access member. | 08-26-2010 |
20100221869 | Semiconductor Wafer and Process For Its Production - A layered semiconductor wafer contains the following layers in the given order:
| 09-02-2010 |
20100233847 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide a semiconductor device including a semiconductor element which has favorable characteristics. A manufacturing method of the present invention includes the steps of: forming a first conductive layer which functions as a gate electrode over a substrate; forming a first insulating layer to cover the first conductive layer; forming a semiconductor layer over the first insulating layer so that part of the semiconductor layer overlaps with the first conductive layer; forming a second conductive layer to be electrically connected to the semiconductor layer; forming a second insulating layer to cover the semiconductor layer and the second conductive layer; forming a third conductive layer to be electrically connected to the second conductive layer; performing first heat treatment after forming the semiconductor layer and before forming the second insulating layer; and performing second heat treatment after forming the second insulating layer. | 09-16-2010 |
20100233848 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SEMICONDUCTOR DEVICE - If an oxide semiconductor layer is crystallized by heat treatment without being covered with an inorganic insulating film, surface unevenness and the like are formed due to the crystallization, which may cause variation in electrical characteristics. Steps are performed in the following order: a second insulating film is formed on an oxide semiconductor layer over a substrate and then heat treatment is performed, instead of performing heat treatment during a period immediately after formation of the oxide semiconductor layer and immediately before formation of an inorganic insulating film including silicon oxide on the oxide semiconductor layer. The density of hydrogen included in the inorganic insulating film including silicon oxide is 5×10 | 09-16-2010 |
20100233849 | Methods of Forming Resistive Memory Devices - Methods of forming a resistive memory device include forming an insulation layer on a semiconductor substrate including a conductive pattern, forming a contact hole in the insulation layer to expose the conductive pattern, forming a lower electrode in the contact hole, forming a variable resistive oxide layer in the contact hole on the lower electrode, forming a middle electrode in the contact hole on the variable resistive oxide layer, forming a buffer oxide layer on the middle electrode and the insulation layer, and forming an upper electrode on the buffer oxide layer. Related resistive memory devices are also disclosed. | 09-16-2010 |
20100240173 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME - The manufacturing method of the present invention includes steps of selectively forming a photocatalyst material or a material including an amino group by discharging a composition including the photocatalyst material or the material including an amino group; immersing the photocatalyst material or the material including an amino group in a solution including a plating catalyst material so as to adsorb or deposit the plating catalyst material onto the photocatalyst material or the material including an amino group; and immersing the plating catalyst material in a plating solution including a metal material so as to form a metal film on a surface of the photocatalyst material or the material including an amino group adsorbing or depositing the plating catalyst material, thereby manufacturing a semiconductor device. The pH of the solution including the plating catalyst material is adjusted in a range of 3 to 6. | 09-23-2010 |
20100248422 | METHOD OF MANUFACTURING NONVOLATILE MEMORY DEVICE - A method of manufacturing a nonvolatile memory device according to an embodiment of the present invention comprises: forming a metal film containing metal whose oxide functions as a variable resistive material and which reacts with silicon through heat treatment and forms metal silicide, on an interlayer insulating film having a silicon layer, which is patterned in a predetermined shape and connected to a first wire, with the surface thereof exposed, performing heat treatment to form a silicide layer on the surface of the silicon layer, oxidizing the silicide layer to form a variable resistive layer on an upper part of the silicon layer, and forming a second wire coupled to the variable resistive layer. | 09-30-2010 |
20100248423 | DELIVERY DEVICE COMPRISING GAS DIFFUSER FOR THIN FILM DEPOSITION - A process for depositing a thin film material on a substrate is disclosed, comprising simultaneously directing a series of gas flows from the output face of a delivery head of a thin film deposition system toward the surface of a substrate, and wherein the series of gas flows comprises at least a first reactive gaseous material, an inert purge gas, and a second reactive gaseous material, wherein the first reactive gaseous material is capable of reacting with a substrate surface treated with the second reactive gaseous material. A system capable of carrying out such a process is also disclosed. | 09-30-2010 |
20100267197 | DOUBLE SELF-ALIGNED METAL OXIDE TFT - A method of fabricating metal oxide TFTs on transparent substrates includes the steps of positioning an opaque gate metal area on the front surface of the substrate, depositing transparent gate dielectric and transparent metal oxide semiconductor layers overlying the gate metal and a surrounding area, depositing transparent passivation material on the semiconductor material, depositing photoresist on the passivation material, exposing and developing the photoresist to remove exposed portions, etching the passivation material to leave a passivation area defining a channel area, depositing transparent conductive material over the passivation area, depositing photoresist over the conductive material, exposing and developing the photoresist to remove unexposed portions, and etching the conductive material to leave source and drain areas on opposed sides of the channel area. | 10-21-2010 |
20100267198 | FIELD EFFECT TRANSISTOR MANUFACTURING METHOD - Provided is a novel method for manufacturing a field effect transistor. Prior to forming an amorphous oxide layer on a substrate, ultraviolet rays are irradiated onto the substrate surface in an ozone atmosphere, plasma is irradiated onto the substrate surface, or the substrate surface is cleaned by a chemical solution containing hydrogen peroxide. | 10-21-2010 |
20100279461 | Method of Fabricating Zinc Oxide Film Having Matching Crystal Orientation to Silicon Substrate - A zinc oxide (ZnO) film is fabricated. Metal-organic chemical vapor deposition (MOCVD) is used to obtain the film with few defects, high integrity and low cost through an easy procedure. The ZnO film above a silicon substrate has a matching crystal orientation to the substrate. Thus, the ZnO film is fit for ultraviolet light-emitting diodes (UV LED), solar cells and related laser devices. | 11-04-2010 |
20100279462 | FIELD EFFECT TRANSISTOR USING AMORPHOUS OXIDE FILM AS CHANNEL LAYER, MANUFACTURING METHOD OF FIELD EFFECT TRANSISTOR USING AMORPHOUS OXIDE FILM AS CHANNEL LAYER, AND MANUFACTURING METHOD OF AMORPHOUS OXIDE FILM - An amorphous oxide containing hydrogen (or deuterium) is applied to a channel layer of a transistor. Accordingly, a thin film transistor having superior TFT properties can be realized, the superior TFT properties including a small hysteresis, normally OFF operation, a high ON/OFF ratio, a high saturated current, and the like. Furthermore, as a method for manufacturing a channel layer made of an amorphous oxide, film formation is performed in an atmosphere containing a hydrogen gas and an oxygen gas, so that the carrier concentration of the amorphous oxide can be controlled. | 11-04-2010 |
20100285632 | TFT SUBSTRATE AND METHOD FOR MANUFACTURING TFT SUBSTRATE - An object of the invention is to provide a TFT substrate and a method for producing a TFT substrate which is capable of drastically reducing the production cost by decreasing the number of steps in the production process and improving production yield. A TFT substrate includes: a substrate; a gate electrode and a gate wire formed above the substrate; a gate insulating film formed above the gate electrode and the gate wire; a first oxide layer formed above the gate insulating film which is formed at least above the gate electrode; and a second oxide layer formed above the first oxide layer; wherein at least a pixel electrode is formed from the second oxide layer. | 11-11-2010 |
20100285633 | NON VOLATILE MEMORY CELLS INCLUDING A FILAMENT GROWTH LAYER AND METHODS OF FORMING THE SAME - A non volatile memory cell that includes a first electrode; a variable resistive layer disposed on the first electrode; a filament growth layer disposed on the variable resistive layer, the filament growth layer including dielectric material and metal atoms; and a second electrode. In other embodiments, a memory array is disclosed that includes a plurality of non volatile memory cells, each non volatile memory cell including a first electrode; a variable resistive layer disposed on the first electrode; a filament growth layer disposed on the variable resistive layer, the filament growth layer including clusters of a first electrically conductive atomic component interspersed in an oxidized second atomic component; and a second electrode; at least one word line; and at least one bit line, wherein the word line is orthogonal to the bit line and each of the plurality of non volatile memory cells are operatively coupled to a word line and a bit line. In still other embodiments, methods are disclosed that include forming a non volatile memory cell include forming a first electrode; forming a variable resistive layer on the first electrode; depositing a two phase alloy layer on the variable resistive layer; converting the two phase alloy layer to a filament growth layer; and depositing a second electrode on the filament growth layer, thereby forming a non volatile memory cell. | 11-11-2010 |
20100291731 | METHOD OF FIELD-CONTROLLED DIFFUSION AND DEVICES FORMED THEREBY - A technique for creating high quality Schottky barrier devices in doped (e.g., Li | 11-18-2010 |
20100304528 | METHOD OF FABRICATING OXIDE THIN FILM TRANSISTOR - According to a method of fabricating an oxide thin-film transistor, when a thin-film transistor is fabricated by using an amorphous zinc oxide (ZnO)-based semiconductor as an active layer, it may be possible to reduce a tact time as well as attain an enhanced element characteristic by depositing an insulation layer having an oxide characteristic in-situ through controlling oxygen (O | 12-02-2010 |
20100304529 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An object is to provide a highly reliable semiconductor device including a thin film transistor having stable electric characteristics. In addition, another object is to manufacture a highly reliable semiconductor device at low cost with high productivity. In a method for manufacturing a semiconductor device including a thin film transistor including an oxide semiconductor layer as a channel formation region, the oxide semiconductor layer is heated under a nitrogen atmosphere to lower its resistance, thereby forming a low-resistance oxide semiconductor layer. Further, resistance of a region of the low-resistance oxide semiconductor layer, which is overlapped with a gate electrode layer, is selectively increased, thereby forming a high-resistance oxide semiconductor layer. Resistance of the oxide semiconductor layer is increased by forming a silicon oxide film in contact with the oxide semiconductor layer by a sputtering method. | 12-02-2010 |
20100330738 | Oxide semiconductor target and manufacturing method of oxide semiconductor device by using the same - An oxide semiconductor target of a ZTO (zinc tin complex oxide) type oxide semiconductor material of an appropriate (Zn/(Zn+Sn)) composition having high mobility and threshold potential stability and with less restriction in view of the cost and the resource and with less restriction in view of the process, and an oxide semiconductor device using the same, in which a sintered Zn tin complex oxide with a (Zn/(Zn+Sn)) composition of 0.6 to 0.8 is used as a target, the resistivity of the target itself is at a high resistance of 1 Ωcm or higher and, further, the total concentration of impurities is controlled to 100 ppm or less. | 12-30-2010 |
20110003427 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - It is an object to provide a highly reliable semiconductor device which includes a thin film transistor having stable electric characteristics. It is another object to manufacture a highly reliable semiconductor device at lower cost with high productivity. In a method for manufacturing a semiconductor device which includes a thin film transistor where a semiconductor layer including a channel formation region using an oxide semiconductor layer, a source region, and a drain region are formed using an oxide semiconductor layer, heat treatment for reducing impurities such as moisture (heat treatment for dehydration or dehydrogenation) is performed so as to improve the purity of the oxide semiconductor layer. | 01-06-2011 |
20110003428 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - It is an object to provide a highly reliable semiconductor device which includes a thin film transistor having stable electric characteristics. It is another object to manufacture a highly reliable semiconductor device at lower cost with high productivity. In a method for manufacturing a semiconductor device which includes a thin film transistor where a semiconductor layer having a channel formation region, a source region, and a drain region are formed using an oxide semiconductor layer, heat treatment (heat treatment for dehydration or dehydrogenation) is performed so as to improve the purity of the oxide semiconductor layer and reduce impurities such as moisture. Moreover, the oxide semiconductor layer subjected to the heat treatment is slowly cooled under an oxygen atmosphere. | 01-06-2011 |
20110003429 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Disclosed is a method to manufacture a thin film transistor having an oxide semiconductor as a channel formation region. The method includes; forming an oxide semiconductor layer over a gate insulating layer; forming a source and drain electrode layers over and in contact with the oxide semiconductor layer so that at least portion of the oxide semiconductor layer is exposed; and forming an oxide insulating film over and in contact with the oxide semiconductor layer. The exposed portion of the oxide semiconductor may be exposed to a gas containing oxygen in the presence of plasma before the formation of the oxide insulating film. The method allows oxygen to be diffused into the oxide semiconductor layer, which contributes to the excellent characteristics of the thin film transistor. | 01-06-2011 |
20110003430 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - An object is to provide a highly reliable semiconductor device including a thin film transistor with stable electric characteristics. In a method for manufacturing a semiconductor device including a thin film transistor in which an oxide semiconductor film is used for a semiconductor layer including a channel formation region, impurities such as moisture existing in the gate insulating layer are reduced before formation of the oxide semiconductor film, and then heat treatment (heat treatment for dehydration or dehydrogenation) is performed so as to improve the purity of the oxide semiconductor film and reduce impurities such as moisture. After that, slow cooling is performed in an oxygen atmosphere. Besides impurities such as moisture existing in the gate insulating layer and the oxide semiconductor film, impurities such as moisture existing at interfaces between the oxide semiconductor film and upper and lower films provided in contact therewith are reduced. | 01-06-2011 |
20110008930 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to manufacture a highly reliable semiconductor device including a thin film transistor with stable electric characteristics. In a method for manufacturing a semiconductor device including a thin film transistor in which an oxide semiconductor film is used for a semiconductor layer including a channel formation region, heat treatment (for dehydration or dehydrogenation) is performed to improve the purity of the oxide semiconductor film and reduce impurities including moisture or the like. After that, slow cooling is performed under an oxygen atmosphere. Besides impurities including moisture or the like exiting in the oxide semiconductor film, heat treatment causes reduction of impurities including moisture or the like exiting in a gate insulating layer and those in interfaces between the oxide semiconductor film and films which are provided over and below the oxide semiconductor and in contact therewith. | 01-13-2011 |
20110008931 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A highly reliable semiconductor device which includes a thin film transistor having stable electric characteristics, and a manufacturing method thereof. In the manufacturing method of the semiconductor device which includes a thin film transistor where a semiconductor layer including a channel formation region is an oxide semiconductor layer, heat treatment which reduces impurities such as moisture to improve the purity of the oxide semiconductor layer and oxidize the oxide semiconductor layer (heat treatment for dehydration or dehydrogenation) is performed. Not only impurities such as moisture in the oxide semiconductor layer but also those existing in a gate insulating layer are reduced, and impurities such as moisture existing in interfaces between the oxide semiconductor layer and films provided over and under and in contact with the oxide semiconductor layer are reduced. | 01-13-2011 |
20110014745 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide a method for manufacturing a highly reliable semiconductor device including a transistor with stable electric characteristics. A method for manufacturing a semiconductor device includes the steps of: forming a gate electrode over a substrate having an insulating surface; forming a gate insulating film over the gate electrode; forming an oxide semiconductor film over the gate insulating film; irradiating the oxide semiconductor film with an electromagnetic wave such as a microwave or a high frequency; forming a source electrode and a drain electrode over the oxide semiconductor film irradiated with the electromagnetic wave; and forming an oxide insulating film, which is in contact with part of the oxide semiconductor film, over the gate insulating film, the oxide semiconductor film, the source electrode, and the drain electrode. | 01-20-2011 |
20110027940 | Method for fabricating copper-containing ternary and quaternary chalcogenide thin films - An apparatus for depositing a solid film onto a substrate from a reagent solution includes reservoirs of reagent solutions maintained at a sufficiently low temperature to inhibit homogeneous reactions within the reagent solutions. The chilled solutions are dispensed through showerheads, one at a time, onto a substrate. One of the showerheads includes a nebulizer so that the reagent solution is delivered as a fine mist, whereas the other showerhead delivers reagent as a flowing stream. A heater disposed beneath the substrate maintains the substrate at an elevated temperature at which the deposition of a desired solid phase from the reagent solutions may be initiated. Each reagent solution contains at least one metal and either S or Se, or both. At least one of the reagent solutions contains Cu. The apparatus and its associated method of use are particularly suited to forming films of Cu-containing compound semiconductors. | 02-03-2011 |
20110033974 | METHOD FOR FABRICATING HOLLOW NANOTUBE STRUCTURE - A method for fabricating a hollow nanotube structure is disclosed. The method includes the steps of providing a substrate, developing a plurality of nanowires on the substrate with a predetermined size on the seed layer at relatively low temperature by a hydro-thermal growth method, forming an outer covering layer on the surfaces of the nanowires, selectively etching an upper end of the outer coating layer to expose an upper end of the nanowires and removing the nanowires to remain the hollow outer coating layer to form a plurality of hollow nanotubes. The method can simplify the nanotube manufacturing process, increase the dimension precision of the nanotubes and enhance the photoelectric properties of micro-electro-mechanical elements. | 02-10-2011 |
20110039369 | PROCESS FOR PRODUCING SEMICONDUCTIVE PORCELAIN COMPOSITION/ELECTRODE ASSEMBLY - A semiconductive porcelain composition/electrode assembly which is low in room temperature resistivity of 100 Ω·cm or less and is reduced in change with the passage of time due to energization with regard to the semiconductive porcelain composition in which a part of Ba of BaTiO | 02-17-2011 |
20110059575 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - It is an object to provide a manufacturing method of a structure of a thin film transistor including an oxide semiconductor film, in which threshold voltage at which a channel is formed is positive and as close to 0 V as possible. A protective insulating layer is formed to cover a thin film transistor including an oxide semiconductor layer that is dehydrated or dehydrogenated by first heat treatment, and second heat treatment at a temperature that is lower than that of the first heat treatment, in which the increase and decrease in temperature are repeated plural times, is performed, whereby a thin film transistor including an oxide semiconductor layer, in which threshold voltage at which a channel is formed is positive and as close to 0 V as possible without depending on the channel length, can be manufactured. | 03-10-2011 |
20110059576 | Nonvolatile memory devices including oxygen-deficient metal oxide layers and methods of manufacturing the same - A nonvolatile memory device includes at least one switching device and at least one storage node electrically connected to the at least one switching device. The at least one storage node includes a lower electrode, one or more oxygen-deficient metal oxide layers, one or more data storage layers, and an upper electrode. At least one of the one or more metal oxide layers is electrically connected to the lower electrode. At least one of the one or more data storage layers is electrically connected to at least one of the one or more metal oxide layers. The upper electrode is electrically connected to at least one of the one or more data storage layers. A method of manufacturing the nonvolatile memory device includes preparing the at least one switching device and forming the lower electrode, one or more metal oxide layers, one or more data storage layers, and upper electrode. | 03-10-2011 |
20110065236 | Method for maintaining a smooth surface of crystallizable material - A method for maintaining a smooth surface of crystallizable material is disclosed. First, a substrate is provided. A target material layer is then formed on the substrate, with the target material being a crystallizable material. A protecting layer is subsequently formed on the target material layer. Next, an annealing treatment is implemented, with the surface of the target material layer, facing the protecting layer, being maintained in its original smooth state by the pressure and/or adhesion of the protecting layer. Finally, the protecting layer is removed to leave an open and smooth surface of the processed crystallizable material. | 03-17-2011 |
20110065237 | APPARATUS AND METHOD FOR MANUFACTURING MULTI-COMPONENT OXIDE HETEROSTRUCTURES - Certain embodiments disclosed herein relate to the formation of multi-component oxide heterostructures (MCOH) using surface nucleation to pattern the atomic layer deposition (ALD) of perovskite material followed by patterned etch and metallization to produce ultra-high density MCOH nano-electronic devices. Applications include ultra-high density MCOH memory and logic, as well as electronic functionality based on single electrons, for example a novel flash memory cell Floating-Gate (FG) transistor with LaAlO | 03-17-2011 |
20110070691 | METHODS OF FABRICATING METAL OXIDE OR METAL OXYNITRIDE TFTS USING WET PROCESS FOR SOURCE-DRAIN METAL ETCH - The present invention generally relates to thin film transistors (TFTs) and methods of making TFTs. The active channel of the TFT may comprise one or more metals selected from the group consisting of zinc, gallium, tin, indium, and cadmium. The active channel may also comprise nitrogen and oxygen. To protect the active channel during source-drain electrode patterning, an etch stop layer may be deposited over the active layer. The etch stop layer prevents the active channel from being exposed to the plasma used to define the source and drain electrodes. The etch stop layer and the source and drain electrodes may be used as a mask when wet etching the active material layer that is used for the active channel. | 03-24-2011 |
20110070692 | HEAT TREATMENT APPARATUS, HEAT TREATMENT METHOD AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Provided is a heat treatment apparatus in which a large-sized substrate can be rapidly heated and rapidly cooled with high uniformity, and a heat treatment method using the heat treatment apparatus. The heat treatment apparatus includes: a first chamber of which one side is opened; a second chamber of which one side is opened; a device for moving the first and the second chambers; a heating device; a gas introduction port; a gas exhaust port; and a jig for longitudinally fixing a substrate, in which the substrate is rapidly heated while the first and the second chambers are connected, and rapidly cooled by separating the chambers to move the substrate away from a heat storage portion of the heating device or the like. Further, the heat treatment method includes the heat treatment apparatus, and a method for manufacturing a semiconductor device using an oxide semiconductor is included. | 03-24-2011 |
20110070693 | METHOD FOR MANUFACTURING OXIDE SEMICONDUCTOR FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide an oxide semiconductor having stable electric characteristics and a semiconductor device including the oxide semiconductor. A manufacturing method of a semiconductor film by a sputtering method includes the steps of holding a substrate in a treatment chamber which is kept in a reduced-pressure state; heating the substrate at lower than 400° C.; introducing a sputtering gas from which hydrogen and moisture are removed in the state where remaining moisture in the treatment chamber is removed; and forming an oxide semiconductor film over the substrate with use of a metal oxide which is provided in the treatment chamber as a target. When the oxide semiconductor film is formed, remaining moisture in a reaction atmosphere is removed; thus, the concentration of hydrogen and the concentration of hydride in the oxide semiconductor film can be reduced. Thus, the oxide semiconductor film can be stabilized. | 03-24-2011 |
20110081747 | METHOD FOR REMOVING ELECTRICITY AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to suppress a significant change in electrical characteristics of thin film transistors and a deviation thereof from the designed range due to static electricity, and to improve the yield in manufacturing semiconductor devices. In order to prevent a substrate from being charged with static electricity by heat treatment or to favorably reduce static electricity with which a substrate is charged in a manufacturing process of a semiconductor device, heat treatment is performed with a substrate provided with a thin film transistor stored in a conductive container. In addition, a heating apparatus for performing the heat treatment is electrically connected to a ground potential, and the container and the substrate are also electrically connected to the ground potential. | 04-07-2011 |
20110081748 | METHODS FOR FORMING RESISTIVE-SWITCHING METAL OXIDES FOR NONVOLATILE MEMORY ELEMENTS - Nonvolatile memory elements are provided that have resistive switching metal oxides. The nonvolatile memory elements may be formed from resistive-switching metal oxide layers. Metal oxide layers may be formed using sputter deposition at relatively low sputtering powers, relatively low duty cycles, and relatively high sputtering gas pressures. Dopants may be incorporated into a base oxide layer at an atomic concentration that is less than the solubility limit of the dopant in the base oxide. At least one oxidation state of the metal in the base oxide is preferably different than at least one oxidation sate of the dopant. The ionic radius of the dopant and the ionic radius of the metal may be selected to be close to each other. Annealing and oxidation operations may be performed on the resistive switching metal oxides. Bistable metal oxides with relatively large resistivities and large high-state-to-low state resistivity ratios may be produced. | 04-07-2011 |
20110092016 | METHOD OF TREATING SEMICONDUCTOR ELEMENT - In a method of treating a semiconductor element which at least includes a semiconductor, a threshold voltage of the semiconductor element is changed by irradiating the semiconductor with light with a wavelength longer than an absorption edge wavelength of the semiconductor. The areal density of in-gap states in the semiconductor is 10 | 04-21-2011 |
20110092017 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THE SAME - An object is to provide a method for manufacturing a highly reliable semiconductor device including thin film transistors which have stable electric characteristics and are formed using an oxide semiconductor. A method for manufacturing a semiconductor device includes the steps of: forming an oxide semiconductor film over a gate electrode with a gate insulating film interposed between the oxide semiconductor film and the gate electrode, over an insulating surface; forming a first conductive film including at least one of titanium, molybdenum, and tungsten, over the oxide semiconductor film; forming a second conductive film including a metal having lower electronegativity than hydrogen, over the first conductive film; forming a source electrode and a drain electrode by etching of the first conductive film and the second conductive film; and forming an insulating film in contact with the oxide semiconductor film, over the oxide semiconductor film, the source electrode, and the drain electrode. | 04-21-2011 |
20110097842 | Method for preparing IGZO particles and method for preparing IGZO film by using the IGZO particles - A method for preparing IGZO particles and a method for preparing an IGZO thin film by using the IGZO particles are disclosed. The method for preparing the IGZO particles comprises the following steps: (A) providing a solution of metal acid salts, which contains a zinc salt, an indium salt, and a gallium salt; (B) mixing the solution of the metal acid salts with a basic solution to obtain an oxide precursor; and (C) heating the oxide precursor to obtain IGZO particles. | 04-28-2011 |
20110097843 | BISTABLE RESISTANCE VALUE ACQUISITION DEVICE, MANUFACTURING METHOD THEREOF, METAL OXIDE THIN FILM, AND MANUFACTURING METHOD THEREOF - A ferroelectric layer ( | 04-28-2011 |
20110097844 | METHOD OF FABRICATING A THIN-FILM DEVICE - A method of forming a thin-film device includes forming an oxide-semiconductor film formed on the first electrical insulator, and forming a second electrical insulator formed on the oxide-semiconductor film, the oxide-semiconductor film defining an active layer. The oxide-semiconductor film is comprised of a first interface layer located at an interface with the first electrical insulating insulator, a second interface layer located at an interface with the second electrical insulator, and a bulk layer other than the first and second interface layers. The method further includes oxidizing the oxide-semiconductor film to render a density of oxygen holes in at least one of the first and second interlayer layers is smaller than a density of oxygen holes in the bulk layer. | 04-28-2011 |
20110104851 | Semiconductor Device and Manufacturing Method Thereof - An object is to provide a semiconductor device of which a manufacturing process is not complicated and by which cost can be suppressed, by forming a thin film transistor using an oxide semiconductor film typified by zinc oxide, and a manufacturing method thereof. For the semiconductor device, a gate electrode is formed over a substrate; a gate insulating film is formed covering the gate electrode; an oxide semiconductor film is formed over the gate insulating film; and a first conductive film and a second conductive film are formed over the oxide semiconductor film. The oxide semiconductor film has at least a crystallized region in a channel region. | 05-05-2011 |
20110111557 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - It is an object to drive a semiconductor device at high speed or to improve the reliability of the semiconductor device. In a method for manufacturing the semiconductor device, in which a gate electrode is formed over a substrate with an insulating property, a gate insulating film is formed over the gate electrode, and an oxide semiconductor film is formed over the gate insulating film, the gate insulating film is formed by deposition treatment using high-density plasma. Accordingly, dangling bonds in the gate insulating film are reduced and the quality of the interface between the gate insulating film and the oxide semiconductor is improved. | 05-12-2011 |
20110111558 | METHOD FOR MANUFACTURING SEMICONDUCTOR ELEMENT AND SEMICONDUCTOR DEVICE, AND DEPOSITION APPARATUS - An object is to provide a deposition apparatus for forming a thin film which contains few impurities such as a hydrogen atom or a carbon atom. Further, an object is to provide a method for forming a thin film containing few impurities. Furthermore, an object is to provide a method for manufacturing a highly reliable semiconductor element including an oxide semiconductor film containing few impurities. A deposition apparatus can be provided for forming a thin film which contains few impurities such as a compound containing a hydrogen atom such as H | 05-12-2011 |
20110117697 | Semiconductor Device and Manufacturing Method Thereof - An object is to provide a semiconductor device of which a manufacturing process is not complicated and by which cost can be suppressed, by forming a thin film transistor using an oxide semiconductor film typified by zinc oxide, and a manufacturing method thereof. For the semiconductor device, a gate electrode is formed over a substrate; a gate insulating film is formed covering the gate electrode; an oxide semiconductor film is formed over the gate insulating film; and a first conductive film and a second conductive film are formed over the oxide semiconductor film. The oxide semiconductor film has at least a crystallized region in a channel region. | 05-19-2011 |
20110117698 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to establish a processing technique in manufacture of a semiconductor device in which an oxide semiconductor is used. A gate electrode is formed over a substrate, a gate insulating layer is formed over the gate electrode, an oxide semiconductor layer is formed over the gate insulating layer, the oxide semiconductor layer is processed by wet etching to form an island-shaped oxide semiconductor layer, a conductive layer is formed to cover the island-shaped oxide semiconductor layer, the conductive layer is processed by dry etching to form a source electrode, and a drain electrode and part of the island-shaped oxide semiconductor layer is removed by dry etching to form a recessed portion in the island-shaped oxide semiconductor layer. | 05-19-2011 |
20110124152 | METHOD OF MANUFACTURING SEMICONDUCTOR FOR TRANSISTOR AND METHOD OF MANUFACTURING THE TRANSISTOR - A method of manufacturing a semiconductor for a transistor that includes forming a precursor layer by coating a surface of an insulation substrate with a precursor solution for an oxide semiconductor, forming an oxide semiconductor by oxidizing a portion of the precursor layer, and removing a remaining precursor layer except for the oxide semiconductor. | 05-26-2011 |
20110124153 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide a semiconductor device having stable electric characteristics in which an oxide semiconductor is used. An oxide semiconductor layer is subjected to heat treatment for dehydration or dehydrogenation treatment in a nitrogen gas or an inert gas atmosphere such as a rare gas (e.g., argon or helium) or under reduced pressure and to a cooling step for treatment for supplying oxygen in an atmosphere of oxygen, an atmosphere of oxygen and nitrogen, or the air (having a dew point of preferably lower than or equal to −40° C., still preferably lower than or equal to −50° C.) atmosphere. The oxide semiconductor layer is thus highly purified, whereby an i-type oxide semiconductor layer is formed. A semiconductor device including a thin film transistor having the oxide semiconductor layer is manufactured. | 05-26-2011 |
20110151617 | Memory and methods of forming the same to enhance scalability of non-volatile two-terminal memory cells - Embodiments of the invention relate generally to semiconductors and memory technology, and more particularly, to systems, integrated circuits, and methods to scale memory elements, such as implemented in BEOL third dimensional memory technology, independent of operational characteristics. In at least some embodiments, a method to fabricate a non-volatile two-terminal memory device includes depositing a first electrode at a first temperature in a first region in relation to a substrate (e.g., a silicon wafer) that includes active circuitry that was previously fabricated FEOL on the substrate, fabricating a memory element coupled to the first electrode, and optionally, forming at least a portion of a non-ohmic device electrically coupled with the memory element. Further, the method can include depositing a second electrode at a second temperature in a second region in relation to the substrate. In some embodiments, the second temperature is approximately equal to or greater than the first temperature. | 06-23-2011 |
20110151618 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An oxide semiconductor layer with excellent crystallinity is formed to enable manufacture of transistors with excellent electrical characteristics for practical application of a large display device, a high-performance semiconductor device, etc. By first heat treatment, a first oxide semiconductor layer is crystallized. A second oxide semiconductor layer is formed over the first oxide semiconductor layer. By second heat treatment, an oxide semiconductor layer including a crystal region having the c-axis oriented substantially perpendicular to a surface is efficiently formed and oxygen vacancies are efficiently filled. An oxide insulating layer is formed over and in contact with the oxide semiconductor layer. By third heat treatment, oxygen is supplied again to the oxide semiconductor layer. A nitride insulating layer containing hydrogen is formed over the oxide insulating layer. By fourth heat treatment, hydrogen is supplied at least to an interface between the second oxide semiconductor layer and the oxide insulating layer. | 06-23-2011 |
20110151619 | METHOD OF FORMING METAL OXIDE FILM AND APPARATUS FOR FORMING METAL OXIDE FILM - A method of forming a metal oxide film, which can lower a temperature of a heat treatment of a substrate and also can form a metal oxide film having a low resistance value without limiting the kind of the metal oxide film to be formed. The method of forming a metal oxide film includes (A) converting a solution containing a metal into mist, (B) heating a substrate, and (C) supplying the solution converted into mist, and ozone to a first main surface of the substrate under heating. | 06-23-2011 |
20110183463 | THIN FILM TRANSITOR SUBSTRATE AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a thin film transistor (“TFT”) substrate includes forming a first conductive pattern group including a gate electrode on a substrate, forming a gate insulating layer on the first conductive pattern group, forming a semiconductor layer and an ohmic contact layer on the gate insulating layer by patterning an amorphous silicon layer and an oxide semiconductor layer, forming a second conductive pattern group including a source electrode and a drain electrode on the ohmic contact layer by patterning a data metal layer, forming a protection layer including a contact hole on the second conductive pattern group, and forming a pixel electrode on the contact hole of the protection layer. The TFT substrate including the ohmic contact layer formed of an oxide semiconductor is further provided. | 07-28-2011 |
20110189818 | Method for forming oxide thin film transistor - A method for manufacturing oxide thin film transistors includes steps of: forming a gate, a drain electrode, a source electrode, and an oxide semiconductor layer respectively. The oxide semiconductor layer is formed on the gate electrode; the drain electrode and the source electrode are formed at two opposite sides of the oxide semiconductor layer. The method further includes a step of depositing a dielectric layer of silicon oxide, and a reacting gas for depositing the silicon oxide includes silane and nitrous oxide. A flow rate of nitrous oxide is in a range from 10 to 200 standard cubic centimeters per minute (SCCM). Oxide thin film transistors manufactured by above method has advantages of low leakage, high mobility, and other integrated circuit member can be directly formed on the thin film transistor array substrate of a display device. | 08-04-2011 |
20110189819 | Resistive Memory Structure with Buffer Layer - A memory device comprises first and second electrodes with a memory element and a buffer layer located between and electrically coupled to them. The memory element comprises one or more metal oxygen compounds. The buffer layer comprises at least one of an oxide and a nitride. Another memory device comprises first and second electrodes with a memory element and a buffer layer, having a thickness of less than 50 Å, located between and electrically coupled to them. The memory comprises one or more metal oxygen compounds. An example of a method of fabricating a memory device includes forming first and second electrodes. A memory, located between and electrically coupled to the first and the second electrodes, is formed; the memory comprises one or more metal oxygen compounds and the buffer layer comprises at least one of an oxide and a nitride. | 08-04-2011 |
20110201149 | METHODS FOR FORMING RESISTIVE SWITCHING MEMORY ELEMENTS - Resistive switching memory elements are provided that may contain electroless metal electrodes and metal oxides formed from electroless metal. The resistive switching memory elements may exhibit bistability and may be used in high-density multi-layer memory integrated circuits. Electroless conductive materials such as nickel-based materials may be selectively deposited on a conductor on a silicon wafer or other suitable substrate. The electroless conductive materials can be oxidized to form a metal oxide for a resistive switching memory element. Multiple layers of conductive materials can be deposited each of which has a different oxidation rate. The differential oxidization rates of the conductive layers can be exploited to ensure that metal oxide layers of desired thicknesses are formed during fabrication. | 08-18-2011 |
20110201150 | Sputtering Apparatus, Thin-Film Forming Method, and Manufacturing Method for a Field Effect Transistor - [Object] To provide a sputtering apparatus, a thin-film forming method, and a manufacturing method for a field effect transistor, which are capable of reducing damage of a base layer. | 08-18-2011 |
20110212569 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In a transistor including an oxide semiconductor layer, an oxide insulating layer is formed so as to be in contact with the oxide semiconductor layer. Then, oxygen is introduced (added) to the oxide semiconductor layer through the oxide insulating layer, and heat treatment is performed. Through these steps of oxygen introduction and heat treatment, impurities such as hydrogen, moisture, a hydroxyl group, or hydride are intentionally removed from the oxide semiconductor layer, so that the oxide semiconductor layer is highly purified. | 09-01-2011 |
20110212570 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - It is an object to provide a highly reliable semiconductor device, a semiconductor device with low power consumption, a semiconductor device with high productivity, and a method for manufacturing such a semiconductor device. Impurities left remaining in an oxide semiconductor layer are removed without generating oxygen deficiency, and the oxide semiconductor layer is purified to have an extremely high purity. Specifically, after oxygen is added to the oxide semiconductor layer, heat treatment is performed on the oxide semiconductor layer to remove the impurities. In order to add oxygen, it is preferable to use a method in which oxygen having high energy is added by an ion implantation method, an ion doping method, or the like. | 09-01-2011 |
20110212571 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device which includes a thin film transistor having an oxide semiconductor layer and excellent electrical characteristics is provided. Further, a method for manufacturing a semiconductor device in which plural kinds of thin film transistors of different structures are formed over one substrate to form plural kinds of circuits and in which the number of steps is not greatly increased is provided. After a metal thin film is formed over an insulating surface, an oxide semiconductor layer is formed thereover. Then, oxidation treatment such as heat treatment is performed to oxidize the metal thin film partly or entirely. Further, structures of thin film transistors are different between a circuit in which emphasis is placed on the speed of operation, such as a logic circuit, and a matrix circuit. | 09-01-2011 |
20110237025 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In a transistor including an oxide semiconductor film, a metal oxide film for preventing electrification which is in contact with the oxide semiconductor film and covers a source electrode and a drain electrode is formed. Then, oxygen is introduced (added) to the oxide semiconductor film through the metal oxide film and heat treatment is performed. Through these steps of oxygen introduction and heat treatment, impurities such as hydrogen, moisture, a hydroxyl group, or hydride are intentionally removed from the oxide semiconductor film, so that the oxide semiconductor film is highly purified. Further, by providing the metal oxide film, generation of a parasitic channel on a back channel side of the oxide semiconductor film can be prevented in the transistor. | 09-29-2011 |
20110269266 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor device including an oxide semiconductor with stable electric characteristics and high reliability is provided. An island-shaped oxide semiconductor layer is formed by using a resist mask, the resist mask is removed, oxygen is introduced (added) to the oxide semiconductor layer, and heat treatment is performed. The removal of the resist mask, introduction of the oxygen, and heat treatment are performed successively without exposure to the air. Through the oxygen introduction and heat treatment, impurities such as hydrogen, moisture, a hydroxyl group, or hydride are intentionally removed from the oxide semiconductor layer, whereby the oxide semiconductor layer is highly purified. Chlorine may be introduced to an insulating layer over which the oxide semiconductor layer is formed before formation of the oxide semiconductor layer. By introducing chlorine, hydrogen in the insulating layer can be fixed, thereby preventing diffusion of hydrogen from the insulating layer into the oxide semiconductor layer. | 11-03-2011 |
20110269267 | ALD PROCESSING TECHNIQUES FOR FORMING NON-VOLATILE RESISTIVE-SWITCHING MEMORIES - ALD processing techniques for forming non-volatile resistive-switching memories are described. In one embodiment, a method includes forming a first electrode on a substrate, maintaining a pedestal temperature for an atomic layer deposition (ALD) process of less than 100° Celsius, forming at least one metal oxide layer over the first electrode, wherein the forming the at least one metal oxide layer is performed using the ALD process using a purge duration of less than 20 seconds, and forming a second electrode over the at least one metal oxide layer. | 11-03-2011 |
20110281394 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - The method for manufacturing the semiconductor device is as follows: forming a gate electrode; forming a first insulating film over the gate electrode; performing halogen doping treatment on the first insulating film so that the first insulating film is supplied with a halogen atom; forming an oxide semiconductor film over the first insulating film so as to overlap with the gate electrode; performing heat treatment on the oxide semiconductor film so that a hydrogen atom is removed in the oxide semiconductor film; performing oxygen doping treatment on the oxide semiconductor film from which the hydrogen atom is removed so that the oxide semiconductor film is supplied with an oxygen atom; performing heat treatment on the oxide semiconductor film to which the oxygen atom is supplied; forming a source electrode and a drain electrode on and in contact with the oxide semiconductor film; forming a second insulating film. | 11-17-2011 |
20110287580 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object of an embodiment of the present invention is to provide a semiconductor device including a normally-off oxide semiconductor element whose characteristic variation is small in the long term. A cation containing one or more elements selected from oxygen and halogen is added to an oxide semiconductor layer, thereby suppressing elimination of oxygen, reducing hydrogen, or suppressing movement of hydrogen. Accordingly, carriers in the oxide semiconductor can be reduced and the number of the carriers can be kept constant in the long term. As a result, the semiconductor device including the normally-off oxide semiconductor element whose characteristic variation is small in the long term can be provided. | 11-24-2011 |
20110294259 | NONVOLATILE MEMORY ELEMENT, MANUFACTURING METHOD THEREOF, AND NONVOLATILE SEMICONDUCTOR APPARATUS USING THE NONVOLATILE MEMORY ELEMENT - A nonvolatile memory element comprises a first electrode layer ( | 12-01-2011 |
20110300667 | ELECTRIC-FIELD-SENSITIVE ELEMENT AND DISPLAY DEVICE USING THE SAME - An electric-field-sensitive element ( | 12-08-2011 |
20110306165 | METHOD FOR PRODUCING a-IGZO OXIDE THIN FILM - There is provided a method for producing an a-IGZO oxide thin film by sputtering, which can control the carrier density of the film to a given value with high reproducibility. The method is an amorphous In—Ga—Zn—O based oxide thin film production method including: providing a sintered oxide material consisting essentially of indium (In), gallium (Ga), zinc (Zn), and oxygen (O) as constituent elements, wherein the ratio [In]/([In]+[Ga]) of the number of indium atoms to the total number of indium and gallium atoms is from 20% to 80%, the ratio [Zn]/([In]+[Ga]+[Zn]) of the number of zinc atoms to the total number of indium, gallium and zinc atoms is from 10% to 50%, and the sintered oxide material has a specific resistance of 1.0×10 | 12-15-2011 |
20110312127 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide a method for manufacturing a semiconductor device including an oxide semiconductor and having improved electric characteristics. The semiconductor device includes an oxide semiconductor film, a gate electrode overlapping the oxide semiconductor film, and a source electrode and a drain electrode electrically connected to the oxide semiconductor film. The method includes the steps of forming a first insulating film including gallium oxide over and in contact with the oxide semiconductor film; forming a second insulating film over and in contact with the first insulating film; forming a resist mask over the second insulating film; forming a contact hole by performing dry etching on the first insulating film and the second insulating film; removing the resist mask by ashing using oxygen plasma; and forming a wiring electrically connected to at least one of the gate electrode, the source electrode, and the drain electrode through the contact hole. | 12-22-2011 |
20110318875 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An embodiment is to include a staggered (top gate structure) thin film transistor in which an oxide semiconductor film containing In, Ga, and Zn is used as a semiconductor layer and a buffer layer is provided between the semiconductor layer and a source and drain electrode layers. The buffer layer having higher carrier concentration than the semiconductor layer is provided intentionally between the source and drain electrode layers and the semiconductor layer, whereby an ohmic contact is formed. | 12-29-2011 |
20120040495 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A transistor with superior electric characteristics is manufactured. An oxide insulating film is formed over a substrate, an oxide semiconductor film is formed over the oxide insulating film, heat treatment is then conducted at a temperature at which hydrogen contained in the oxide semiconductor film is desorbed and part of oxygen contained in the oxide insulating film is desorbed, then the heated oxide semiconductor film is etched into a predetermined shape to form an island-shaped oxide semiconductor film, a pair of electrodes is formed over the island-shaped oxide semiconductor film, a gate insulating film is formed over the pair of electrodes and the island-shaped oxide semiconductor film, and a gate electrode is formed over the gate insulating film. | 02-16-2012 |
20120040496 | PROGRAMMABLE RESISTIVE MEMORY CELL WITH OXIDE LAYER - Programmable metallization memory cells include an electrochemically active electrode and an inert electrode and an ion conductor solid electrolyte material between the electrochemically active electrode and the inert electrode. An electrically insulating oxide layer separates the ion conductor solid electrolyte material from the electrochemically active electrode. | 02-16-2012 |
20120058597 | FABRICATION METHOD FOR THIN-FILM FIELD-EFFECT TRANSISTORS - A thin-film field-effect transistor is formed by forming a dielectric layer adjacent a gate, forming a source region and a drain region, and forming a semiconductor layer on the dielectric layer. The semiconductor layer is deposited by spray pyrolysis and comprises a material selected from a group comprising: oxides; oxide-based materials; mixed oxides; metallic type oxides; group I-IV, II-VI, III-VI, IV-VI, V-VI and VIII-VI binary chalcogenides; and group I-II-VI, II-II-VI, II-III-VI, II-VI-VI and V-II-VI ternary chalcogenides. | 03-08-2012 |
20120058598 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Electrical characteristics of transistors using an oxide semiconductor are greatly varied in a substrate, between substrates, and between lots, and the electrical characteristics are changed due to heat, bias, light, or the like in some cases. In view of the above, a semiconductor device using an oxide semiconductor with high reliability and small variation in electrical characteristics is manufactured. In a method for manufacturing a semiconductor device, hydrogen in a film and at an interface between films is removed in a transistor using an oxide semiconductor. In order to remove hydrogen at the interface between the films, the substrate is transferred under a vacuum between film formations. Further, as for a substrate having a surface exposed to the air, hydrogen on the surface of the substrate may be removed by heat treatment or plasma treatment. | 03-08-2012 |
20120058599 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - The semiconductor device includes a thin film transistor which includes a gate electrode layer, a gate insulating layer over the gate electrode layer, a source electrode layer and a drain electrode layer over the gate insulating layer, a buffer layer over the source electrode layer and the drain electrode layer, and a semiconductor layer over the buffer layer. A part of the semiconductor layer overlapping with the gate electrode layer is over and in contact with the gate insulating layer and is provided between the source electrode layer and the drain electrode layer. The semiconductor layer is an oxide semiconductor layer containing indium, gallium, and zinc. The buffer layer contains a metal oxide having n-type conductivity. The semiconductor layer and the source and drain electrode layers are electrically connected to each other through the buffer layer. | 03-08-2012 |
20120058600 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to increase field effect mobility of a thin film transistor including an oxide semiconductor. Another object is to stabilize electrical characteristics of the thin film transistor. In a thin film transistor including an oxide semiconductor layer, a semiconductor layer or a conductive layer having higher electrical conductivity than the oxide semiconductor is formed over the oxide semiconductor layer, whereby field effect mobility of the thin film transistor can be increased. Further, by forming a semiconductor layer or a conductive layer having higher electrical conductivity than the oxide semiconductor between the oxide semiconductor layer and a protective insulating layer of the thin film transistor, change in composition or deterioration in film quality of the oxide semiconductor layer is prevented, so that electrical characteristics of the thin film transistor can be stabilized. | 03-08-2012 |
20120058601 | THIN FILM TRANSISTOR, METHOD FOR MANUFACTURING SAME, DISPLAY DEVICE, AND METHOD FOR MANUFACTURING THE SAME - A thin film transistor includes: an insulating layer; a gate electrode provided on the insulating layer; a gate insulating film provided on the gate electrode; a semiconductor layer provided on the gate insulating film, the semiconductor layer being formed of oxide; source and drain electrodes provided on the semiconductor layer; and a channel protecting layer provided between the source and drain electrodes and the semiconductor layer. The source electrode is opposed to one end of the gate electrode. The drain electrode is opposed to another end of the gate electrode. The another end is opposite to the one end. The drain electrode is apart from the source electrode. The channel protecting layer covers at least a part of a side face of a part of the semiconductor layer. The part of the semiconductor layer is not covered with the source and drain electrodes above the gate electrode. | 03-08-2012 |
20120064664 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to manufacture a semiconductor device including an oxide semiconductor film, which has stable electric characteristics and high reliability. A crystalline oxide semiconductor film is formed, without performing a plurality of steps, as follows: by utilizing a difference in atomic weight of plural kinds of atoms included in an oxide semiconductor target, zinc with low atomic weight is preferentially deposited on an oxide insulating film to form a seed crystal including zinc; and tin, indium, or the like with high atomic weight is deposited on the seed crystal while causing crystal growth. Further, a crystalline oxide semiconductor film is formed by causing crystal growth using a seed crystal with a hexagonal crystal structure including zinc as a nucleus, whereby a single crystal oxide semiconductor film or a substantially single crystal oxide semiconductor film is formed. | 03-15-2012 |
20120064665 | DEPOSITION APPARATUS, APPARATUS FOR SUCCESSIVE DEPOSITION, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An oxide semiconductor layer is formed with a deposition apparatus including a transfer mechanism for a substrate, a first deposition chamber in which an oxide semiconductor is deposited, and a first heating chamber in which first heat treatment is performed. The first deposition chamber and the first heating chamber are sequentially provided along a path of the substrate transferred by the transfer mechanism. The substrate is held so that an angle formed by a deposition surface of the substrate and the vertical direction is in a range of greater than or equal to 1° and less than or equal to 30°. Without exposure to the air, the first heat treatment can be performed after a first film is formed over the substrate. | 03-15-2012 |
20120088328 | NON-VOLATILE RESISTIVE-SWITCHING MEMORIES - Non-volatile resistive-switching memories are described, including a memory element having a first electrode, a second electrode, a metal oxide between the first electrode and the second electrode. The metal oxide switches using bulk-mediated switching, has a bandgap greater than 4 electron volts (eV), has a set voltage for a set operation of at least one volt per one hundred angstroms of a thickness of the metal oxide, and has a leakage current density less than 40 amps per square centimeter (A/cm | 04-12-2012 |
20120094433 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Provided is a method for manufacturing a semiconductor device so as not expose a semiconductor layer to moisture and the number of masks is reduced. For example, a first conductive film, a first insulating film, a semiconductor film, a second conductive film, and a mask film are formed. The first mask film is processed to form a first mask layer. Dry etching is performed on the first insulating film, the semiconductor film, and the second conductive film with the use of the first mask layer to form a thin film stack body, so that a surface of the first conductive film is at least exposed. Sidewall insulating layers covering side surfaces of the thin film stack body are formed. The first conductive film is side-etched to form a first electrode. A second electrode layer is formed with the second mask layer. | 04-19-2012 |
20120094434 | ENHANCED SPONTANEOUS SEPARATION METHOD FOR PRODUCTION OF FREE-STANDING NITRIDE THIN FILMS, SUBSTRATES, AND HETEROSTRUCTURES - The present invention provides a superior method for the removal of nitride semiconductor thin films, thick films, heterostructures, and bulk material from initial substrates and/or templates. The method utilizes specially patterned mask layers between the initial substrates/templates and the nitride semiconductors to decrease adhesion between the nitride semiconductor and underlying material. Thermal stresses generated upon cooling the nitride semiconductor from its deposition temperature trigger spontaneous separation of the nitride semiconductor from the initial substrate or template at the mask layer. The invention dies deficiencies in the prior art by providing a simple, reproducible, and effective means of removing initial substrates and templates from a variety of nitride semiconductor layers and structures. | 04-19-2012 |
20120108006 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - It is an object to provide a semiconductor device including a thin film transistor with favorable electric properties and high reliability, and a method for manufacturing the semiconductor device with high productivity. In an inverted staggered (bottom gate) thin film transistor, an oxide semiconductor film containing In, Ga, and Zn is used as a semiconductor layer, and a buffer layer formed using a metal oxide layer is provided between the semiconductor layer and a source and drain electrode layers. The metal oxide layer is intentionally provided as the buffer layer between the semiconductor layer and the source and drain electrode layers, whereby ohmic contact is obtained. | 05-03-2012 |
20120108007 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - It is an object to provide a semiconductor device including a thin film transistor with favorable electric properties and high reliability, and a method for manufacturing the semiconductor device with high productivity. In an inverted staggered (bottom gate) thin film transistor, an oxide semiconductor film containing In, Ga, and Zn is used as a semiconductor layer, and a buffer layer formed using a metal oxide layer is provided between the semiconductor layer and a source and drain electrode layers. The metal oxide layer is intentionally provided as the buffer layer between the semiconductor layer and the source and drain electrode layers, whereby ohmic contact is obtained. | 05-03-2012 |
20120115276 | AMORPHOUS OXIDE SEMICONDUCTOR AND THIN FILM TRANSISTOR USING THE SAME - There is provided an amorphous oxide semiconductor including hydrogen and at least one element of indium (In) and zinc (Zn), the amorphous oxide semiconductor containing one of hydrogen atoms and deuterium atoms of 1×10 | 05-10-2012 |
20120122277 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SEMICONDUCTOR DEVICE - An object is to provide favorable interface characteristics of a thin film transistor including an oxide semiconductor layer without mixing of an impurity such as moisture. Another object is to provide a semiconductor device including a thin film transistor having excellent electric characteristics and high reliability, and a method by which a semiconductor device can be manufactured with high productivity. A main point is to perform oxygen radical treatment on a surface of a gate insulating layer. Accordingly, there is a peak of the oxygen concentration at an interface between the gate insulating layer and a semiconductor layer, and the oxygen concentration of the gate insulating layer has a concentration gradient. The oxygen concentration is increased toward the interface between the gate insulating layer and the semiconductor layer. | 05-17-2012 |
20120135563 | PROCESS FOR PRODUCING MULTILAYER CHIP ZINC OXIDE VARISTOR CONTAINING PURE SILVER INTERNAL ELECTRODES AND FIRING AT ULTRALOW TEMPERATURE - A low-temperature firing process is available for cost saving to produce a multilayer chip ZnO varistor containing pure silver (Ag) formed as internal electrodes and calcined at ultralow firing temperature of 850-900° C., which process comprises:
| 05-31-2012 |
20120142142 | METHOD OF MANUFACTURING A SEMICONDUCTOR STRUCTURE - A method of manufacturing a semiconductor structure is disclosed, which includes providing a substrate comprising a bottom surface and a growth surface opposite to the bottom surface; forming a buffer layer comprising a first surface which is not a C-plane substantially parallel with the bottom surface on the growth surface; forming a semiconductor structure on the buffer layer; forming at least one cavity in the buffer layer; extending the cavity along a main extending direction; separating the substrate and the semiconductor structure; wherein the main extending direction is substantially not parallel with the normal direction of the first surface. | 06-07-2012 |
20120142143 | Methods for Forming Resistive Switching Memory Elements by Heating Deposited Layers - Resistive switching nonvolatile memory elements are provided. A metal-containing layer and an oxide layer for a memory element can be heated using rapid thermal annealing techniques. During heating, the oxide layer may decompose and react with the metal-containing layer. Oxygen from the decomposing oxide layer may form a metal oxide with metal from the metal-containing layer. The resulting metal oxide may exhibit resistive switching for the resistive switching memory elements. | 06-07-2012 |
20120149147 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device for high power application in which a novel semiconductor material having high mass productivity is provided. An oxide semiconductor film is formed, and then, first heat treatment is performed on the exposed oxide semiconductor film in order to reduce impurities such as moisture or hydrogen in the oxide semiconductor film. Next, in order to further reduce impurities such as moisture or hydrogen in the oxide semiconductor film, oxygen is added to the oxide semiconductor film by an ion implantation method, an ion doping method, or the like, and after that, second heat treatment is performed on the exposed oxide semiconductor film. | 06-14-2012 |
20120171813 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - Electric characteristics and reliability of a thin film transistor are impaired by diffusion of an impurity element into a channel region. The present invention provides a thin film transistor in which aluminum atoms are unlikely to be diffused to an oxide semiconductor layer. A thin film transistor including an oxide semiconductor layer including indium, gallium, and zinc includes source or drain electrode layers in which first conductive, layers including aluminum as a main component and second conductive layers including a high-melting-point metal material are stacked. An oxide semiconductor layer | 07-05-2012 |
20120178210 | METHOD OF FABRICATING A RESISTIVE NON-VOLATILE MEMORY DEVICE - A method of fabricating a memory cell includes forming a bottom electrode on a substrate, a variable resistive material layer on the bottom electrode, and a top electrode on the variable resistive material layer. A first metal oxide layer interposes the top electrode and the variable resistive material layer. In an embodiment, the first metal oxide layer is a self-formed layer provided by the oxidation of a portion of the top electrode. In an embodiment, a second metal oxide layer is provided interposing the first metal oxide layer and the variable resistive material layer. The second metal oxide may be a self-formed layer formed by the reduction of the variable resistive material layer. | 07-12-2012 |
20120184066 | SINTERED In-Ga-Zn-O-TYPE OXIDE - An oxide sintered body including In (indium element), Ga (gallium element) and Zn (zinc element), having a total content of In, Ga and Zn relative to total elements except for an oxygen element of 95 at % or more, and including a compound having a bixbyite structure represented by In | 07-19-2012 |
20120202318 | METHOD FOR THE PRODUCTION OF LAYERS CONTAINING INDIUM OXIDE - The present invention relates to a liquid phase process for producing indium oxide-containing layers from nonaqueous solution, in which an anhydrous composition containing at least one indium oxo alkoxide of the generic formula M | 08-09-2012 |
20120208318 | SEMICONDUCTOR DEVICE HAVING A METAL OXIDE CHANNEL - A semiconductor device includes a metal oxide channel and methods for forming the same. The metal oxide channel includes indium, gallium, and zinc. | 08-16-2012 |
20120214276 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SEMICONDUCTOR DEVICE - An object is to provide a semiconductor device provided with a thin film transistor having excellent electric characteristics using an oxide semiconductor layer. An In—Sn—O-based oxide semiconductor layer including SiO | 08-23-2012 |
20120220077 | THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME AND FLAT PANEL DISPLAY DEVICE HAVING THE SAME - A thin film transistor, a method of manufacturing the thin film transistor, and a flat panel display device including the thin film transistor. The thin film transistor includes: a gate electrode formed on a substrate; a gate insulating film formed on the gate electrode; an activation layer formed on the gate insulating film; a passivation layer including a compound semiconductor oxide, formed on the activation layer; and source and drain electrodes that contact the activation layer. | 08-30-2012 |
20120220078 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide a semiconductor device having stable electric characteristics in which an oxide semiconductor is used. An oxide semiconductor layer is subjected to heat treatment for dehydration or dehydrogenation treatment in a nitrogen gas or an inert gas atmosphere such as a rare gas (e.g., argon or helium) or under reduced pressure and to a cooling step for treatment for supplying oxygen in an atmosphere of oxygen, an atmosphere of oxygen and nitrogen, or the air (having a dew point of preferably lower than or equal to −40° C., still preferably lower than or equal to −50° C.) atmosphere. The oxide semiconductor layer is thus highly purified, whereby an i-type oxide semiconductor layer is formed. A semiconductor device including a thin film transistor having the oxide semiconductor layer is manufactured. | 08-30-2012 |
20120225520 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An object is to provide a transistor including an oxide semiconductor having favorable electrical characteristics and a manufacturing method thereof. A semiconductor device includes an oxide semiconductor film and an insulating film over a substrate. An end portion of the oxide semiconductor film is in contact with the insulating film. The oxide semiconductor film includes a channel formation region and regions containing a dopant between which the channel formation region is sandwiched. The semiconductor device further includes a gate insulating film over and in contact with the oxide semiconductor film, a gate electrode with a sidewall insulating film over the gate insulating film, and a source electrode and a drain electrode in contact with the sidewall insulating film, the oxide semiconductor film, and the insulating film. | 09-06-2012 |
20120231580 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - In a manufacturing process of a transistor including an oxide semiconductor film, oxygen doping treatment is performed on the oxide semiconductor film, and then heat treatment is performed on the oxide semiconductor film and an aluminum oxide film provided over the oxide semiconductor film. Consequently, an oxide semiconductor film which includes a region containing more oxygen than a stoichiometric composition is formed. The transistor formed using the oxide semiconductor film can have high reliability because the amount of change in the threshold voltage of the transistor by a bias-temperature stress test (BT test) is reduced. | 09-13-2012 |
20120231581 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - In a process of manufacturing a transistor including an oxide semiconductor layer, an amorphous oxide semiconductor layer which includes a region containing excess oxygen as compared to a stoichiometric composition ratio of an oxide semiconductor in a crystalline state is formed over a silicon oxide film, an aluminum oxide film is formed over the amorphous oxide semiconductor layer, and then heat treatment is performed so that at least part of the amorphous oxide semiconductor layer is crystallized and an oxide semiconductor layer which includes a crystal having a c-axis substantially perpendicular to a surface of the oxide semiconductor layer is formed. | 09-13-2012 |
20120238055 | METHOD FOR MANUFACTURING NONVOLATILE SEMICONDUCTOR MEMORY ELEMENT - An object of the present invention is to provide a method for manufacturing a variable resistance nonvolatile semiconductor memory element which can operate at a low voltage and high speed when initial breakdown is caused, and inhibit oxidization of a contact plug. The method for manufacturing the variable resistance nonvolatile semiconductor memory element, which includes a bottom electrode, a variable resistance layer, and a top electrode which are formed above a contact plug, includes oxidizing to insulate an end portion of the variable resistance layer prior to forming a bottom electrode by patterning a first conductive film. | 09-20-2012 |
20120244658 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor device including an oxide semiconductor, which has stable electric characteristics and high reliability, is provided. In a method for manufacturing a transistor including an oxide semiconductor film, an implantation step where rare gas ions are implanted to the oxide semiconductor film is performed, and the oxide semiconductor film to which rare gas ions are implanted is subjected to a heating step under reduced pressure, in a nitrogen atmosphere, or in a rare gas atmosphere, whereby hydrogen or water contained in the oxide semiconductor film to which rare gas ions are implanted is released; thus, the oxide semiconductor film is highly purified. | 09-27-2012 |
20120244659 | METHOD FOR FORMING OXIDE SEMICONDUCTOR FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for forming an oxide semiconductor film having favorable semiconductor characteristics is provided. In addition, a method for manufacturing a semiconductor device having favorable electric characteristics, with use of the oxide semiconductor film is provided. A method for forming an oxide semiconductor film including the steps of forming an oxide semiconductor film, forming a hydrogen permeable film over and in contact with the oxide semiconductor film, forming a hydrogen capture film over and in contact with the hydrogen permeable film, and releasing hydrogen from the oxide semiconductor film by performing heat treatment. Further, in a method for manufacturing a semiconductor device, the method for forming an oxide semiconductor film is used. | 09-27-2012 |
20120244660 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - It is an object to provide a highly reliable semiconductor device which includes a thin film transistor having stable electric characteristics. It is another object to manufacture a highly reliable semiconductor device at lower cost with high productivity. In a method for manufacturing a semiconductor device which includes a thin film transistor where a semiconductor layer having a channel formation region, a source region, and a drain region are formed using an oxide semiconductor layer, heat treatment (heat treatment for dehydration or dehydrogenation) is performed so as to improve the purity of the oxide semiconductor layer and reduce impurities such as moisture. Moreover, the oxide semiconductor layer subjected to the heat treatment is slowly cooled under an oxygen atmosphere. | 09-27-2012 |
20120252160 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In a method for manufacturing a transistor including an oxide semiconductor layer, a gate electrode is formed and then an aluminum oxide film, a silicon oxide film, and the oxide semiconductor film are successively formed in an in-line apparatus without being exposed to the air and are subjected to heating and oxygen adding treatment in the in-line apparatus. Then, the transistor is covered with another aluminum oxide film and is subjected to heat treatment, so that the oxide semiconductor film from which impurities including hydrogen atoms are removed and including a region containing oxygen at an amount exceeding that in the stoichiometric composition ratio. The transistor including the oxide semiconductor film is a transistor having high reliability in which the amount of change in threshold voltage of the transistor by the bias-temperature stress (BT test) can be reduced. | 10-04-2012 |
20120282733 | METHOD FOR BAND GAP TUNING OF METAL OXIDE SEMICONDUCTORS - A method for band gap tuning of metal oxide semiconductors is provided, comprising: placing a metal oxide semiconductor in a plasma chamber; (a1) treating the metal oxide semiconductor with an oxygen plasma for oxidizing the metal oxide semiconductor to decrease band gap thereof; and (a2) treating the metal oxide semiconductor with a hydrogen plasma for reducing the metal oxide semiconductor to increase band gap thereof; or (b1) treating the metal oxide semiconductor with an oxygen plasma for oxidizing the metal oxide semiconductor to increase band gap thereof; and (b2) treating the metal oxide semiconductor with a hydrogen plasma for reducing the metal oxide semiconductor to decrease band gap thereof. | 11-08-2012 |
20120282734 | OXIDE THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - An oxide thin film transistor and a method of manufacturing the oxide TFT are provided. The oxide thin film transistor (TFT) including: a gate; a channel formed to correspond to the gate, and a capping layer having a higher work function than the channel; a gate insulator disposed between the gate and the channel; and a source and drain respectively contacting either side of the capping layer and the channel and partially on a top surface of the capping layer. | 11-08-2012 |
20120288993 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - To establish a processing technique in manufacture of a semiconductor device including an In—Sn—Zn—O-based semiconductor. An In—Sn—Zn—O-based semiconductor layer is selectively etched by dry etching with the use of a gas containing chlorine such as Cl | 11-15-2012 |
20120288994 | THIN FILM TRANSISTORS USING MULTIPLE ACTIVE CHANNEL LAYERS - Embodiments disclosed herein generally relate to TFTs and methods of fabricating the TFTs. In TFTs, the active channel carries the current between the source and drain electrodes. By tailoring the composition of the active channel, the current can be controlled. The active channel may be divided into three layers, a gate control layer, a bulk layer, and an interface control layer. The separate layers may have different compositions. Each of the gate control, bulk and interface control layers may additionally comprise multiple layers that may have different compositions. The composition of the various layers of the active channel comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, indium, cadmium, tin, gallium and combinations thereof. By varying the composition among the layers, the mobility, carrier concentration and conductivity of the various layers may be controlled to produce a TFT having desired properties. | 11-15-2012 |
20120295397 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Stable electrical characteristics and high reliability are provided to a semiconductor device including an oxide semiconductor. In a process of manufacturing a transistor including an oxide semiconductor film, an amorphous oxide semiconductor film is formed, and oxygen is added to the amorphous oxide semiconductor film, so that an amorphous oxide semiconductor film containing excess oxygen is formed. Then, an aluminum oxide film is formed over the amorphous oxide semiconductor film, and heat treatment is performed thereon to crystallize at least part of the amorphous oxide semiconductor film, so that a crystalline oxide semiconductor film is formed. | 11-22-2012 |
20120295398 | ION IMPLANT MODIFICATION OF RESISTIVE RANDOM ACCESS MEMORY DEVICES - An improved method of fabricating a resistive memory device is disclosed. A resistive memory includes a bottom electrode, a top electrode and a resistive material layer interposed therebetween. Interfaces are formed between the resistive material layer and the respective top and bottom electrodes. Ions are implanted in the device to change the characteristics of one or both of these interfaces, thereby improving the performance of the memory device. These ions may be implanted after the three layers are fabricated, during the fabrication of these layers, or at both times. | 11-22-2012 |
20120295399 | OXIDE-BASED THIN FILM TRANSISTOR, METHOD OF FABRICATING THE SAME, ZINC OXIDE ETCHANT, AND A METHOD OF FORMING THE SAME - Provided is a zinc (Zn) oxide-based thin film transistor that may include a gate, a gate insulating layer on the gate, a channel including zinc oxide and may be on a portion of the gate insulating layer, and a source and drain contacting respective sides of the channel. The zinc (Zn) oxide-based thin film transistor may further include a recession in the channel between the source and the drain, and a zinc oxide-based etchant may be used to form the recession. | 11-22-2012 |
20120302003 | DOUBLE SELF-ALIGNED METAL OXIDE TFT - A method of fabricating MOTFTs on transparent substrates includes positioning opaque gate metal on the front surface of a transparent substrate and depositing transparent gate dielectric, transparent metal oxide semiconductor material, and passivation material on the gate metal and the surrounding area. Portions of the passivation material are exposed from the rear surface of the substrate. Exposed portions are removed to define a channel area overlying the gate area. A relatively thick conductive metal material is selectively deposited on the exposed areas of the semiconductor material to form thick metal source/drain contacts. The selective deposition includes either plating or printing and processing a metal paste. | 11-29-2012 |
20120302004 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide a method for manufacturing a highly reliable semiconductor device including a transistor with stable electric characteristics. A method for manufacturing a semiconductor device includes the steps of: forming a gate electrode over a substrate having an insulating surface; forming a gate insulating film over the gate electrode; forming an oxide semiconductor film over the gate insulating film; irradiating the oxide semiconductor film with an electromagnetic wave such as a microwave or a high frequency; forming a source electrode and a drain electrode over the oxide semiconductor film irradiated with the electromagnetic wave; and forming an oxide insulating film, which is in contact with part of the oxide semiconductor film, over the gate insulating film, the oxide semiconductor film, the source electrode, and the drain electrode. | 11-29-2012 |
20120315725 | SURFACE TREATMENT TO IMPROVE RESISTIVE-SWITCHING CHARACTERISTICS - This disclosure provides a method of fabricating a semiconductor device layer and associated memory cell structures. By performing a surface treatment process (such as ion bombardment) of a semiconductor device layer to create defects having a deliberate depth profile, one may create multistable memory cells having more consistent electrical parameters. For example, in a resistive-switching memory cell, one may obtain a tighter distribution of set and reset voltages and lower forming voltage, leading to improved device yield and reliability. In at least one embodiment, the depth profile is selected to modulate the type of defects and their influence on electrical properties of a bombarded metal oxide layer and to enhance uniform defect distribution. | 12-13-2012 |
20120329209 | METHOD FOR FORMING PATTERN OF METAL OXIDE AND METHOD FOR MANUFACTURING THIN FILM TRANSISTOR USING THE SAME - Disclosed are a method for forming a metal oxide pattern and a method of manufacturing a thin film transistor using the patterned metal oxide. The method for forming a metal oxide pattern includes: preparing an ink composition including at least one metal oxide precursor or metal oxide nanoparticle, and a solvent; ejecting the ink composition on a substrate to form a pattern on the substrate; and photosintering the formed pattern. Herein, the metal oxide precursor is ionic. | 12-27-2012 |
20120329210 | Methods of Forming Diodes - Some embodiments include methods of forming diodes in which a first electrode is formed to have a pedestal extending upwardly from a base. At least one layer is deposited along an undulating topography that extends across the pedestal and base, and a second electrode is formed over the least one layer. The first electrode, at least one layer, and second electrode together form a structure that conducts current between the first and second electrodes when voltage of one polarity is applied to the structure, and that inhibits current flow between the first and second electrodes when voltage having a polarity opposite to said one polarity is applied to the structure. Some embodiments include diodes having a first electrode that contains two or more projections extending upwardly from a base, having at least one layer over the first electrode, and having a second electrode over the at least one layer. | 12-27-2012 |
20130005081 | METHOD OF IGZO AND ZNO TFT FABRICATION WITH PECVD SiO2 PASSIVATION - The present invention generally relates to a method of manufacturing a TFT. The TFT has an active channel that comprises IGZO or zinc oxide. After the source and drain electrodes are formed, but before the passivation layers or etch stop layers are deposited thereover, the active channel is exposed to an N | 01-03-2013 |
20130005082 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND METHOD OF FABRICATING THE SAME - A thin film transistor array substrate having a high charge mobility and that can raise a threshold voltage, and a method of fabricating the thin film transistor array substrate are provided. The thin film transistor array substrate includes: an insulating substrate; a gate electrode formed on the insulating substrate; an oxide semiconductor layer comprising a lower oxide layer formed on the gate electrode and an upper oxide layer formed on the lower oxide layer, such that the oxygen concentration of the upper oxide layer is higher than the oxygen concentration of the lower oxide layer; and a source electrode and a drain electrode formed on the oxide semiconductor layer and separated from each other. | 01-03-2013 |
20130011961 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a semiconductor device having excellent characteristics, in which a channel layer includes an oxide semiconductor with high crystallinity. In addition, a semiconductor device including a base film with improved planarity is provided. CMP treatment is performed on the base film of the transistor and plasma treatment is performed thereon after the CMP treatment, whereby the base film can have a center line average roughness Ra | 01-10-2013 |
20130011962 | SPUTTERING TARGET, METHOD FOR MANUFACTURING SPUTTERING TARGET, AND METHOD FOR FORMING THIN FILM - There have been cases where transistors formed using oxide semiconductors are inferior in reliability to transistors formed using amorphous silicon. Thus, in the present invention, a semiconductor device including a highly reliable transistor formed using an oxide semiconductor is manufactured. An oxide semiconductor film is deposited by a sputtering method, using a sputtering target including an oxide semiconductor having crystallinity, and in which the direction of the c-axis of a crystal is parallel to a normal vector of the top surface of the oxide semiconductor. The target is formed by mixing raw materials so that its composition ratio can obtain a crystal structure. | 01-10-2013 |
20130011963 | PROCESS FOR PRODUCING ZINC OXIDE VARISTOR - A process for producing zinc oxide varistors possessed a property of breakdown voltage (V1mA) ranging from 230 to 1,730 V/mm is to perform the doping of zinc oxide and the sintering of zinc oxide grains with a high-impedance sintered powder through two independent procedures, so that the doped zinc oxide and the high-impedance sintered powder are well mixed in a predetermined ratio and then used to make the zinc oxide varistors through conventional technology by low-temperature sintering (lower than 900° C.); the resultant zinc oxide varistors may use pure silver as inner electrode and particularly possess breakdown voltage ranging from 230 to 1,730 V/mm. | 01-10-2013 |
20130017648 | METHODS OF MANUFACTURING THIN FILM TRANSISTOR DEVICES - Embodiments of the disclosure provide methods of fabricating a thin film transistor device with good profile control of peripheral sidewall of an active layer formed in the thin film transistor devices. In one embodiment, a method for manufacturing a thin film transistor device includes providing a substrate having a source-drain metal electrode layer disposed on an active layer formed thereon, wherein the active layer is a metal oxide layer, performing a back-channel-etching process to form a channel in the source-drain metal electrode layer, and performing an active layer patterning process after the back-channel-etching process. | 01-17-2013 |
20130023085 | METHOD FOR FORMING METAL OXIDES AND SILICIDES IN A MEMORY DEVICE - Embodiments of the invention generally relate to memory devices and methods for fabricating such memory devices. In one embodiment, a method for fabricating a resistive switching memory device includes depositing a metallic layer on a lower electrode disposed on a substrate and exposing the metallic layer to an activated oxygen source while heating the substrate to an oxidizing temperature within a range from about 300° C. to about 600° C. and forming a metal oxide layer from an upper portion of the metallic layer during an oxidation process. The lower electrode contains a silicon material and the metallic layer contains hafnium or zirconium. Subsequent to the oxidation process, the method further includes heating the substrate to an annealing temperature within a range from greater than 600° C. to about 850° C. while forming a metal silicide layer from a lower portion of the metallic layer during a silicidation process. | 01-24-2013 |
20130023086 | ACTIVE MATRIX SUBSTRATE, DISPLAY PANEL PROVIDED WITH SAME, AND METHOD FOR MANUFACTURING ACTIVE MATRIX SUBSTRATE - An active matrix substrate includes a plurality of pixel electrodes (P) provided in a matrix, and a plurality of TFTs ( | 01-24-2013 |
20130023087 | METHOD FOR PROCESSING OXIDE SEMICONDUCTOR FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To provide an oxide semiconductor film including a low-resistance region, which can be applied to a transistor. To provide a transistor including the oxide semiconductor film, which can perform at high speed. To provide a high-performance semiconductor device including the transistor including the oxide semiconductor film, which can perform at high speed, with high yield. A film having a reducing property is formed over the oxide semiconductor film. Next, part of oxygen atoms are transferred from the oxide semiconductor film to the film having a reducing property. Next, an impurity is added to the oxide semiconductor film through the film having a reducing property and then, the film having a reducing property is removed, so that a low-resistance region is formed in the oxide semiconductor film. | 01-24-2013 |
20130045567 | METHODS FOR MANUFACTURING A METAL-OXIDE THIN FILM TRANSISTOR - Disclosed herein is a method for manufacturing a metal-oxide thin film transistor. The method includes the steps of: (a1) forming a gate electrode on a substrate; (a2) forming a gate insulating layer over the gate electrode; (a3) forming a metal-oxide semiconductor layer having a channel region on the gate insulating layer; (a4) forming a source electrode and a drain electrode on the metal-oxide semiconductor layer, wherein the source electrode is spaced apart from the drain electrode by a gap exposing the channel region; (a5) forming a mobility-enhancing layer on the channel region, wherein the mobility-enhancing layer is not in contact with the source electrode and the drain electrode; and (a6) annealing the metal-oxide semiconductor layer and the mobility-enhancing layer in an environment at a temperature of about 200° C. to 350° C. | 02-21-2013 |
20130045568 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - Electric characteristics and reliability of a thin film transistor are impaired by diffusion of an impurity element into a channel region. The present invention provides a thin film transistor in which aluminum atoms are unlikely to be diffused to an oxide semiconductor layer. A thin film transistor including an oxide semiconductor layer including indium, gallium, and zinc includes source or drain electrode layers in which first conductive, layers including aluminum as a main component and second conductive layers including a high-melting-point metal material are stacked. An oxide semiconductor layer | 02-21-2013 |
20130059414 | COMPOSITIONS USED IN FORMATION OF OXIDE MATERIAL LAYERS, METHODS OF FORMING AN OXIDE MATERIAL LAYER USING THE SAME, AND METHODS OF FABRICATING A THIN FILM TRANSISTOR USING SAME - Methods of forming an oxide material layer are provided. The method includes mixing a precursor material with a peroxide material to form a precursor solution, coating the precursor solution on a substrate, and baking the coated precursor solution. | 03-07-2013 |
20130078760 | THIN FILM TRANSISTOR FABRICATING METHOD - A thin film transistor fabricating method is disclosed. The thin film transistor fabricating method comprises providing a substrate; forming an oxide semiconductor layer on an upper surface of the substrate; forming a gate insulating layer on an upper surface of the oxide semiconductor layer; masking a portion of the oxide semiconductor layer with the gate insulating layer; irradiating the oxide semiconductor layer with irradiating light having photon energy less than a band gap of the oxide semiconductor layer; forming a drain region and a source region at lateral portions of the oxide semiconductor layer exposed to the irradiating light, and forming a channel region in the portion of the oxide semiconductor layer masked by the gate insulating layer; and forming a gate electrode on an upper surface of the gate insulating layer. | 03-28-2013 |
20130078761 | METHOD FOR MANUFACTURING A FLEXIBLE TRANSPARENT 1T1R STORAGE UNIT BASED ON A COMPLETELY LOW-TEMPERATURE PROCESS - The present invention belongs to the technical field of low temperature atomic layer deposition technology, and specifically relates to a method for manufacturing a flexible transparent 1T1R storage unit. In the present invention, a fully transparent 1T1R storage unit is developed on a flexible substrate through a completely low-temperature process, including an oxide layer dielectric, a transparent electrode and a transparent substrate which are deposited together through a low-temperature process, thus realizing a fully transparent device capable of achieving the functions of nontransparent devices. The present invention can be applied to the manufacturing of flexible low-temperature storage units in the future, as well as changing the packaging and existing modes of devices, which will make foldable and bendable portable storage units possible. | 03-28-2013 |
20130078762 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is, in a thin film transistor in which an oxide semiconductor is used as an active layer, to prevent change in composition, film quality, an interface, or the like of an oxide semiconductor region serving as an active layer, and to stabilize electrical characteristics of the thin film transistor. In a thin film transistor in which a first oxide semiconductor region is used as an active layer, a second oxide semiconductor region having lower electrical conductivity than the first oxide semiconductor region is formed between the first oxide semiconductor region and a protective insulating layer for the thin film transistor, whereby the second oxide semiconductor region serves as a protective layer for the first oxide semiconductor region; thus, change in composition or deterioration in film quality of the first oxide semiconductor region can be prevented, and electrical characteristics of the thin film transistor can be stabilized. | 03-28-2013 |
20130089949 | Method for Reducing Forming Voltage in Resistive Random Access Memory - Methods for producing RRAM resistive switching elements having reduced forming voltage include preventing formation of interfacial layers, and creating electronic defects in a dielectric film. Suppressing interfacial layers in an electrode reduces forming voltage. Electronic defects in a dielectric film foster formation of conductive pathways. | 04-11-2013 |
20130089950 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to improve field effect mobility of a thin film transistor using an oxide semiconductor. Another object is to suppress increase in off current even in a thin film transistor with improved field effect mobility. In a thin film transistor using an oxide semiconductor layer, by forming a semiconductor layer having higher electrical conductivity and a smaller thickness than the oxide semiconductor layer between the oxide semiconductor layer and a gate insulating layer, field effect mobility of the thin film transistor can be improved, and increase in off current can be suppressed. | 04-11-2013 |
20130095606 | Fabrication Method for ZnO Thin Film Transistors Using Etch-stop Layer - A method is provided for fabricating a thin film transistor. A plurality of layers is deposited on a substrate. The plurality of layers includes a conductive gate contact layer, a gate insulator layer, an undoped channel layer, an etch-stop layer, and a conductive contact layer. The etch-stop layer is positioned between the conductive contact layer and the undoped channel layer. A portion of the conductive contact layer is selectively removed while removal of a portion of the undoped channel layer is prevented by the etch-stop layer during the selective removal. A portion of the etch-stop layer is selectively removed and an exposed portion of the etch-stop layer is converted from a conductor to an insulator by oxidizing the exposed portion of the etch-stop layer in air. A portion of remaining layers of the plurality of layers is selectively removed to form the thin film transistor. | 04-18-2013 |
20130115733 | ETCHANT COMPOSITION AND METHOD FOR MANUFACTURING THIN FILM TRANSISTOR USING THE SAME - Provided is an etchant composition. The etchant composition according to an exemplary embodiment of the present invention includes ammonium persulfate ((NH | 05-09-2013 |
20130122649 | METHOD FOR MANUFACTURING THIN FILM TRANSISTOR - Disclosed is a method for manufacturing a metal oxide thin film transistor. According to the method, an active layer having a high carrier concentration is formed, and then a channel region is oxidized by plasma having oxidbillity so that the channel region has a low carrier concentration while a source region and a drain region have high carrier concentrations. In addition, the threshold voltage of the transistor is controlled by the conditions under which the channel region of the transistor is subsequently oxidized by plasma having oxidbillity at a low temperature. Therefore, the controllability of the characteristics of the transistor is improved significantly, and the manufacturing process is simplified. | 05-16-2013 |
20130122650 | Method For Preparing P-Type Zinc Oxide ZnO or P-Type ZnMgO - Method for preparing p-type zinc oxide ZnO or p-type ZnMgO, comprising at least the sequence of the following two steps a) and b):
| 05-16-2013 |
20130122651 | MANUFACTURING METHOD OF NON-VOLATILE MEMORY DEVICE - Each of the step of forming a first variable resistance layer ( | 05-16-2013 |
20130130437 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An object is to provide a semiconductor device having a structure in which parasitic capacitance between wirings can be efficiently reduced. In a bottom gate thin film transistor using an oxide semiconductor layer, an oxide insulating layer used as a channel protection layer is formed above and in contact with part of the oxide semiconductor layer overlapping with a gate electrode layer, and at the same time an oxide insulating layer covering a peripheral portion (including a side surface) of the stacked oxide semiconductor layer is formed. Further, a source electrode layer and a drain electrode layer are formed in a manner such that they do not overlap with the channel protection layer. Thus, a structure in which an insulating layer over the source electrode layer and the drain electrode layer is in contact with the oxide semiconductor layer is provided. | 05-23-2013 |
20130130438 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - In a thin film transistor which uses an oxide semiconductor, buffer layers containing indium, gallium, zinc, oxygen, and nitrogen are provided between the oxide semiconductor layer and the source and drain electrode layers. | 05-23-2013 |
20130137213 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In a semiconductor device including a transistor in which an oxide semiconductor layer, a gate insulating layer, and a gate electrode layer on side surfaces of which sidewall insulating layers are provided are stacked in this order, a source electrode layer and a drain electrode layer are provided in contact with the oxide semiconductor layer and the sidewall insulating layers. In a process for manufacturing the semiconductor device, a conductive layer and an interlayer insulating layer are stacked to cover the oxide semiconductor layer, the sidewall insulating layers, and the gate electrode layer. Then, parts of the interlayer insulating layer and the conductive layer over the gate electrode layer are removed by a chemical mechanical polishing method, so that a source electrode layer and a drain electrode layer are formed. Before formation of the gate insulating layer, cleaning treatment is performed on the oxide semiconductor layer. | 05-30-2013 |
20130137214 | METHOD FOR REMOVING RESIDUAL EXTRINSIC IMPURITIES IN AN N TYPE ZnO OR ZnMgO SUBSTRATE, FOR P-TYPE DOPING OF THIS SUBSTRATE - A method for purifying an n-type ZnO and/or ZnMgO substrate to reduce or eliminate the residual extrinsic impurities of the substrate with a view to p-doping of at least are part of the substrate, wherein a reactive species having strong chemical affinity for at least one of the residual extrinsic impurities, and/or being capable of creating crystalline defects, is introduced in at least one region of the substrate, the said reactive species being P, and whereby at least one region called a getter region capable of trapping the said residual extrinsic impurities and/or in which the residual extrinsic impurities are trapped is created in the substrate; annealing of the substrate is then carried out to cause diffusion of the residual extrinsic impurities towards the getter region and/or to outside the getter region, preferably towards at least one surface of the substrate. | 05-30-2013 |
20130143358 | METHOD FOR MANUFACTURING OXIDE THIN FILM TRANSISTOR - A method for manufacturing an oxide thin film transistor with leakage currents less than 10 | 06-06-2013 |
20130149813 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THE SAME - An object is to manufacture and provide a highly reliable semiconductor device including a thin film transistor with stable electric characteristics. In a method for manufacturing a semiconductor device including a thin film transistor in which a semiconductor layer including a channel formation region serves as an oxide semiconductor film, heat treatment for reducing impurities such as moisture (heat treatment for dehydration or dehydrogenation) is performed after an oxide insulating film serving as a protective film is formed in contact with an oxide semiconductor layer. Then, the impurities such as moisture, which exist not only in a source electrode layer, in a drain electrode layer, in a gate insulating layer, and in the oxide semiconductor layer but also at interfaces between the oxide semiconductor film and upper and lower films which are in contact with the oxide semiconductor layer, are reduced. | 06-13-2013 |
20130149814 | THIN FILM TRANSISTOR ARRAY PANEL AND METHOD FOR MANUFACTURING THE SAME - A thin film transistor substrate according to an embodiment of the present invention includes: an insulation substrate; a gate line formed on the insulation substrate; a first interlayer insulating layer formed on the gate line; a data line and a gate electrode formed on the first interlayer insulating layer; a gate insulating layer formed on the data line and gate electrode; a semiconductor formed on the gate insulating layer and overlapping the gate electrode; a second interlayer insulating layer formed on the semiconductor; a first connection formed on the second interlayer insulating layer and electrically connecting the gate line and the gate electrode to each other; a drain electrode connected to the semiconductor; a pixel electrode connected to the drain electrode; and a second connection connecting the data line and the semiconductor to each other. | 06-13-2013 |
20130149815 | NONVOLATILE MEMORY ELEMENT MANUFACTURING METHOD AND NONVOLATILE MEMORY ELEMENT - A method of manufacturing a nonvolatile memory element includes: forming a first conductive film above a substrate; forming, above the first conductive film, a first metal oxide layer and a second metal oxide layer having different degrees of oxygen deficiency and a second conductive film; forming a second electrode by patterning the second conductive film; forming a variable resistance layer by patterning the first metal oxide layer and the second metal oxide layer; removing a side portion of the variable resistance layer in a surface parallel to a main surface of the substrate to a position that is further inward than an edge of the second electrode; and forming a first electrode by patterning the first conductive film after or during the removing. | 06-13-2013 |
20130157411 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - It is an object to provide a highly reliable semiconductor device which includes a thin film transistor having stable electric characteristics. It is another object to manufacture a highly reliable semiconductor device at lower cost with high productivity. In a method for manufacturing a semiconductor device which includes a thin film transistor where a semiconductor layer having a channel formation region, a source region, and a drain region are formed using an oxide semiconductor layer, heat treatment (heat treatment for dehydration or dehydrogenation) is performed so as to improve the purity of the oxide semiconductor layer and reduce impurities such as moisture. Moreover, the oxide semiconductor layer subjected to the heat treatment is slowly cooled under an oxygen atmosphere. | 06-20-2013 |
20130171771 | MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE - A method for producing a semiconductor device according to the present invention includes a step of sputtering a target ( | 07-04-2013 |
20130178012 | METHOD FOR MANUFACTURING A GATE-CONTROL DIODE SEMICONDUCTOR DEVICE - This invention belongs to semiconductor device manufacturing field and discloses a method for manufacturing a gate-control diode semiconductor device. When the gate voltage is relatively high, the channel under the gate is of n-type and the device is of a simple gate-control pn junction structure; by way of controlling the effective n-type concentration of the ZnO film through back-gate control, inverting the n-type ZnO into p-type through the gate, and using NiO as a p-type semiconductor, an n-p-n-p doping structure is formed. The method features capacity of manufacturing gate-control diode devices able to reduce chip power consumption through the advantages of high driving current and small sub-threshold swing. The present invention using a low temperature process production is especially applicable to the manufacturing of semiconductor devices based on flexible substrates and reading & writing devices that have a flat panel display and phase change memory. | 07-11-2013 |
20130178013 | METHOD FOR MANUFACTURING A GATE-CONTROL DIODE SEMICONDUCTOR DEVICE - This invention belongs to semiconductor device manufacturing field and discloses a method for manufacturing a gate-control diode semiconductor device. When the gate voltage is relatively high, the channel under the gate has an n type and the device has a simple gate-control pn junction structure; by way of controlling the effective n-type concentration of the ZnO film through back-gate control, inverting the n-type ZnO into p-type through the gate and using NiO as a p-type semiconductor, an n-p-n-p doping structure is formed. The present invention features capacity of manufacturing gate-control diode devices able to reduce the chip power consumption through the advantages of a high driving current and small sub-threshold swing, is especially applicable to the manufacturing of reading & writing devices having flat panel displays & phase change memory, and semiconductor devices based on flexible substrates. | 07-11-2013 |
20130178014 | METHOD FOR MANUFACTURING A GATE-CONTROL DIODE SEMICONDUCTOR MEMORY DEVICE - This invention belongs to semiconductor device manufacturing field and discloses a method for manufacturing a gate-control diode semiconductor storage device. When the floating gate voltage is relatively high, the channel under the floating gate is of n type and a simple gate-control pn junction structure is configured; by controlling effective n-type concentration of the ZnO film through back-gate control, inverting the n-type ZnO into p-type through a floating gate and using NiO as a p-type semiconductor, an n-p-n-p doping structure is formed while the quantity of charges in the floating gate determines the device threshold voltage, thus realizing memory functions. This invention features capacity of manufacturing gate-control diode memory devices able to reduce the chip power consumption through advantages of high driving current and small sub-threshold swing. This invention is applicable to semiconductor devices manufacturing based on flexible substrate and flat panel displays and floating gate memories, etc. | 07-11-2013 |
20130178015 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to manufacture a highly reliable semiconductor device including a thin film transistor with stable electric characteristics. In a method for manufacturing a semiconductor device including a thin film transistor in which an oxide semiconductor film is used for a semiconductor layer including a channel formation region, heat treatment (for dehydration or dehydrogenation) is performed to improve the purity of the oxide semiconductor film and reduce impurities including moisture or the like. After that, slow cooling is performed under an oxygen atmosphere. Besides impurities including moisture or the like exiting in the oxide semiconductor film, heat treatment causes reduction of impurities including moisture or the like exiting in a gate insulating layer and those in interfaces between the oxide semiconductor film and films which are provided over and below the oxide semiconductor and in contact therewith. | 07-11-2013 |
20130183797 | METHOD FOR PREPARING P-TYPE ZnO-BASED MATERIAL - The present invention provides a method for preparing a p-type ZnO-based material, which method is conducted in a metal organic chemical vapor deposition system, including: cleaning the surface of a substrate and placing it in a growth chamber of the metal organic chemical vapor deposition system, vacuumizing the growth chamber to 10 | 07-18-2013 |
20130196468 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To improve productivity of a transistor that includes an oxide semiconductor and has good electrical characteristics. In a top-gate transistor including a gate insulating film and a gate electrode over an oxide semiconductor film, a metal film is formed over the oxide semiconductor film, oxygen is added to the metal film to form a metal oxide film, and the metal oxide film is used as a gate insulating film. After an oxide insulating film is formed over the oxide semiconductor film, a metal film may be formed over the oxide insulating film. Oxygen is added to the metal film to form a metal oxide film and added also to the oxide semiconductor film or the oxide insulating film. | 08-01-2013 |
20130196469 | Low-Temperature Fabrication of Metal Oxide Thin Films and Nanomaterial-Derived Metal Composite Thin Films - Disclosed are new methods of fabricating metal oxide thin films and nanomaterial-derived metal composite thin films via solution processes at low temperatures (<400° C.). The present thin films are useful as thin film semiconductors, thin film dielectrics, or thin film conductors, and can be implemented into semiconductor devices such as thin film transistors and thin film photovoltaic devices. | 08-01-2013 |
20130203214 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To improve productivity of a transistor that includes an oxide semiconductor and has good electrical characteristics. In a top-gate transistor including a gate insulating film and a gate electrode over an oxide semiconductor film, a metal film is formed over the oxide semiconductor film, oxygen is added to the metal film to form a metal oxide film, and the metal oxide film is used as a gate insulating film. After an oxide insulating film is formed over the oxide semiconductor film, a metal film may be formed over the oxide insulating film. Oxygen is added to the metal film to form a metal oxide film and added also to the oxide semiconductor film or the oxide insulating film. | 08-08-2013 |
20130210193 | ReRAM STACKS PREPARATION BY USING SINGLE ALD OR PVD CHAMBER - Systems and methods for preparing resistive switching memory devices such as resistive random access memory (ReRAM) devices wherein both oxide and nitride layers are deposited in a single chamber are provided. Various oxide and nitride based layers in the ReRAM device such as the switching layer, current-limiting layer, and the top electrode (and optionally the bottom electrode) are deposited in the single chamber. By fabricating the ReRAM device in a single chamber, throughput is increased and cost is decreased. Moreover, processing in a single chamber reduces device exposure to air and to particulates, thereby minimizing device defects. | 08-15-2013 |
20130217179 | Nonvolatile Memory Device Having An Electrode Interface Coupling Region - Embodiments of the invention generally relate to a resistive switching nonvolatile memory device having an interface layer structure disposed between at least one of the electrodes and a variable resistance layer formed in the nonvolatile memory device, and a method of forming the same. Typically, resistive switching memory elements may be formed as part of a high-capacity nonvolatile memory integrated circuit, which can be used in various electronic devices, such as digital cameras, mobile telephones, handheld computers, and music players. In one configuration of the resistive switching nonvolatile memory device, the interface layer structure comprises a passivation region, an interface coupling region, and/or a variable resistance layer interface region that are configured to adjust the nonvolatile memory device's performance, such as lowering the formed device's switching currents and reducing the device's forming voltage, and reducing the performance variation from one formed device to another. | 08-22-2013 |
20130217180 | Low-Temperature Fabrication of Metal Oxide Thin Films and Nanomaterial-Derived Metal Composite Thin Films - Disclosed are new methods of fabricating metal oxide thin films and nanomaterial-derived metal composite thin films via solution processes at low temperatures (<400° C.). The present thin films are useful as thin film semiconductors, thin film dielectrics, or thin film conductors, and can be implemented into semiconductor devices such as thin film transistors and thin film photovoltaic devices. | 08-22-2013 |
20130237009 | METHOD FOR MANUFACTURING A GATE-CONTROL DIODE SEMICONDUCTOR DEVICE - The present invention belongs to the technical field of semiconductor device manufacturing, and specifically relates to a method for manufacturing a gate-control diode semiconductor device. The present invention manufactures gate-control diode semiconductor devices through a low-temperature process, features a simple process, low manufacturing cost, and capacity of manufacturing gate-control diode devices able to reduce the chip power consumption through advantages of high driving current and small sub-threshold swing. The method for manufacturing a gate-control diode semiconductor device proposed by the present invention is especially applicable to the manufacturing of reading & writing devices having flat panel displays and phase change memory, and semiconductor devices based on flexible substrates. | 09-12-2013 |
20130237010 | METHOD FOR MANUFACTURING A GATE-CONTROL DIODE SEMICONDUCTOR MEMORY DEVICE - The present invention belongs to the technical field of semiconductor device manufacturing, and specifically discloses a method for manufacturing a gate-control diode semiconductor storage device. The present invention manufactures gate-control diode semiconductor memory devices through a low-temperature process featuring a simple process, low manufacturing cost and capacity of manufacturing gate-control diode memory devices with a high driving current and small sub-threshold swing. The method for manufacturing a gate-control diode semiconductor memory device proposed by the present invention is especially applicable to the manufacturing of flat panel displays and phase change memories and memory devices based on flexible substrate. | 09-12-2013 |
20130237011 | COMPOSITION FOR OXIDE SEMICONDUCTOR AND METHOD OF MANUFACTURING A THIN FILM TRANSISTOR SUBSTRATE USING THE SAME - A method of manufacturing a thin-film transistor substrate includes: applying a composition on a substrate to form a thin-film on the substrate, heating the thin-film, and patterning the thin-film to form an oxide semiconductor pattern. The composition includes a metal nitrate and water. The potential of hydrogen (pH) of the composition is about 1 to about 4. | 09-12-2013 |
20130237012 | METHOD OF FABRICATING A THIN-FILM DEVICE - A method of forming a thin-film device includes forming an oxide-semiconductor film formed on the first electrical insulator, and forming a second electrical insulator formed on the oxide-semiconductor film, the oxide-semiconductor film defining an active layer. The oxide-semiconductor film is comprised of a first interface layer located at an interface with the first electrical insulating insulator, a second interface layer located at an interface with the second electrical insulator, and a bulk layer other than the first and second interface layers. The method further includes oxidizing the oxide-semiconductor film to render a density of oxygen holes in at least one of the first and second interlayer layers is smaller than a density of oxygen holes in the bulk layer. | 09-12-2013 |
20130237013 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A manufacturing method of a semiconductor device, which includes the steps of forming a gate electrode layer over a substrate having an insulating surface, forming a gate insulating layer over the gate electrode layer, forming an oxide semiconductor layer over the gate insulating layer, forming a source electrode layer and a drain electrode layer over the oxide semiconductor layer, forming an insulating layer including oxygen over the oxide semiconductor layer, the source electrode layer, and the drain electrode layer, and after formation of an insulating layer including hydrogen over the insulating layer including oxygen, performing heat treatment so that hydrogen in the insulating layer including hydrogen is supplied to at least the oxide semiconductor layer. | 09-12-2013 |
20130244374 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device including an oxide semiconductor includes the steps of forming an oxide semiconductor film, forming a gate insulating film provided over the oxide semiconductor film, forming a gate electrode in contact with the gate insulating film, a sidewall insulating film in contact with the gate electrode, and forming a source electrode and a drain electrode in contact with the oxide semiconductor film. In the method, the gate insulating film and the sidewall insulating film are formed at a temperature at which oxygen contained in the oxide semiconductor film is inhibited from being eliminated, preferably at a temperature lower than a temperature at which oxygen contained in the oxide semiconductor film is eliminated. | 09-19-2013 |
20130244375 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An embodiment is to include a staggered (top gate structure) thin film transistor in which an oxide semiconductor film containing In, Ga, and Zn is used as a semiconductor layer and a buffer layer is provided between the semiconductor layer and a source and drain electrode layers. A metal oxide layer having higher carrier concentration than the semiconductor layer is provided intentionally as the buffer layer between the source and drain electrode layers and the semiconductor layer, whereby an ohmic contact is formed. | 09-19-2013 |
20130260508 | Methods for forming resistive switching memory elements - Resistive switching memory elements are provided that may contain electroless metal electrodes and metal oxides formed from electroless metal. The resistive switching memory elements may exhibit bistability and may be used in high-density multi-layer memory integrated circuits. Electroless conductive materials such as nickel-based materials may be selectively deposited on a conductor on a silicon wafer or other suitable substrate. The electroless conductive materials can be oxidized to form a metal oxide for a resistive switching memory element. Multiple layers of conductive materials can be deposited each of which has a different oxidation rate. The differential oxidization rates of the conductive layers can be exploited to ensure that metal oxide layers of desired thicknesses are formed during fabrication. | 10-03-2013 |
20130260509 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device includes a substrate having an insulating surface; a light-transmitting first electrode provided over the substrate; a light-transmitting second electrode provided over the substrate; a light-transmitting semiconductor layer provided so as to be electrically connected to the first electrode and the second electrode; a first wiring electrically connected to the first electrode; an insulating layer provided so as to cover at least the semiconductor layer; a light-transmitting third electrode provided over the insulating layer in a region overlapping with the semiconductor layer; and a second wiring electrically connected to the third electrode. | 10-03-2013 |
20130273690 | MIXED VALENT OXIDE MEMORY AND METHOD - Memory devices and methods of forming include a mixed valent oxide located between a first electrode and a second electrode. Implantation of a metal below a surface of one of the electrodes allows formation of the mixed valent oxide with a direct interface to the electrode. An intermetallic oxide can be subsequently formed between the mixed valent oxide and the electrode by annealing the structure. | 10-17-2013 |
20130280857 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - It is an object to provide a manufacturing method of a structure of a thin film transistor including an oxide semiconductor film, in which threshold voltage at which a channel is formed is positive and as close to 0 V as possible. A protective insulating layer is formed to cover a thin film transistor including an oxide semiconductor layer that is dehydrated or dehydrogenated by first heat treatment, and second heat treatment at a temperature that is lower than that of the first heat treatment, in which the increase and decrease in temperature are repeated plural times, is performed, whereby a thin film transistor including an oxide semiconductor layer, in which threshold voltage at which a channel is formed is positive and as close to 0 V as possible without depending on the channel length, can be manufactured. | 10-24-2013 |
20130280858 | SEMICONDUCTOR DEVICE - A semiconductor device includes an oxide semiconductor layer provided over a substrate having an insulating surface; a gate insulating film covering the oxide semiconductor layer; a first conductive layer and a second conductive layer laminated in this order over the gate insulating film; an insulating film covering the oxide semiconductor layer and a gate wiring including a gate electrode (the first and second conductive layers); and a third conductive layer and a fourth conductive layer laminated in this order over the insulating film and electrically connected to the oxide semiconductor layer. The gate electrode is formed using the first conductive layer. The gate wiring is formed using the first conductive layer and the second conductive layer. A source electrode is formed using the third conductive layer. A source wiring is formed using the third conductive layer and the fourth conductive layer. | 10-24-2013 |
20130280859 | THIN-FILM TRANSISTOR AND METHOD FOR MANUFACTURING SAME - Provided are a thin film transistor and a method of manufacturing the same. The thin film transistor includes: a gate electrode; source and drain electrodes spaced apart in a up and down direction from the gate electrode and in a horizontal direction from each other; a gate dielectric formed between the gate electrode and the source electrode and between the gate electrode and the drain electrode; and an active layer formed between the gate dielectric and the source electrode and between the gate dielectric and the drain electrode, wherein the active layer is formed of at least two zinc oxide thin layers doped with an element. | 10-24-2013 |
20130288426 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In a semiconductor device in which transistors are formed in a plurality of layers to form a stack structure, a method for manufacturing the semiconductor device formed by controlling the threshold voltage of the transistors formed in the layers selectively is provided. Further, a method for manufacturing the semiconductor device by which oxygen supplying treatment is effectively performed is provided. First oxygen supplying treatment is performed on a first oxide semiconductor film including a first channel formation region of a transistor in the lower layer. Then, an interlayer insulating film including an opening which is formed so that the first channel formation region is exposed is formed over the first oxide semiconductor film and second oxygen supplying treatment is performed on a second oxide semiconductor film including a second channel formation region over the interlayer insulating film and the exposed first channel formation region. | 10-31-2013 |
20130288427 | Methods Of Fabricating Dielectric Films From Metal Amidinate Precursors - Described are methods for atomic layer deposition of films comprising mixed metal oxides using metal amidinate precursors. The mixed metal oxide films may comprise a lanthanide and a transition metal such as hafnium, zirconium or titanium. Such mixed metal oxide films may be used as dielectric layers in capacitors, transistors, dynamic random access memory cells, resistive random access memory cells, flash memory cells and display panels. | 10-31-2013 |
20130295718 | THIN-FILM TRANSISTOR, METHOD OF FABRICATING THE THIN-FILM TRANSISTOR, AND DISPLAY SUBSTRATE USING THE THIN-FILM TRANSISTOR - An oxide thin-film transistor (TFT) substrate that includes: a substrate, a gate line, a data line, an oxide TFT, and a pixel electrode. An oxide layer of the oxide TFT includes a first region that has semiconductor characteristics and a channel, and a second region that is conductive and surrounds the first region. A portion of the first region is electrically connected to the pixel electrode, and the second region is electrically connected to the data line. | 11-07-2013 |
20130295719 | GRADED METAL OXIDE RESISTANCE BASED SEMICONDUCTOR MEMORY DEVICE - Memory devices are described along with methods for manufacturing and methods for operating. A memory device as described herein includes a plurality of memory cells located between word lines and bit lines. Memory cells in the plurality of memory cells comprise a diode and a metal-oxide memory element programmable to a plurality of resistance states including a first and a second resistance state, the diode of the memory element arranged in electrical series along a current path between a corresponding word line and a corresponding bit line. The device further includes bias circuitry to apply bias arrangements across the series arrangement of the diode and the memory element of a selected memory cell in the plurality of memory cells. | 11-07-2013 |
20130302938 | METHOD FOR FORMING WIRING, SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A wiring which is formed using a conductive film containing copper and whose shape is controlled is provided. A transistor including an electrode which is formed in the same layer as the wiring is provided. Further, a semiconductor device including the transistor and the wiring is provided. A resist mask is formed over a second conductive film stacked over a first conductive film; part of the second conductive film and part of the first conductive film are removed with use of the resist mask as a mask so that the first conductive film has a taper angle greater than or equal to 15° and less than or equal to 45°; and the resist mask is removed. The first conductive film contains copper. | 11-14-2013 |
20130302939 | MANUFACTURING METHOD OF TFT ARRAY SUBSTRATE - A manufacturing method of a TFT array substrate is provided. The method includes the following steps: respectively forming a metal oxide semiconductor layer ( | 11-14-2013 |
20130309808 | METHOD FOR MANUFACTURING TRANSISTOR - Designs and fabrication of dual-gate thin film transistors are provided. An active region and a top gate electrode of the transistor can be made of a transparent thin film material. A photoresist can be coated onto a surface of the transparent conductive thin film for forming the top gate electrode. Light is from the bottom of the substrate during exposure. After the development, a photoresist pattern aligned with the bottom gate electrode is formed on the surface of the conductive thin film. The top gate electrode aligned with the bottom gate electrode is formed by etching the conductive thin film. The bottom gate electrode can be used as a mask, which may save the cost for manufacturing the transistor and improve the accuracy of alignment between the top gate electrode and the bottom gate electrode and the performance of the dual-gate thin film transistor. | 11-21-2013 |
20130316493 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - It is an object to provide a highly reliable semiconductor device, a semiconductor device with low power consumption, a semiconductor device with high productivity, and a method for manufacturing such a semiconductor device. Impurities left remaining in an oxide semiconductor layer are removed without generating oxygen deficiency, and the oxide semiconductor layer is purified to have an extremely high purity. Specifically, after oxygen is added to the oxide semiconductor layer, heat treatment is performed on the oxide semiconductor layer to remove the impurities. In order to add oxygen, it is preferable to use a method in which oxygen having high energy is added by an ion implantation method, an ion doping method, or the like. | 11-28-2013 |
20130330877 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An embodiment of the disclosed invention is a method for manufacturing a semiconductor device, which includes the steps of: forming a first insulating film; performing oxygen doping treatment on the first insulating film to supply oxygen to the first insulating film; forming a source electrode, a drain electrode, and an oxide semiconductor film electrically connected to the source electrode and the drain electrode, over the first insulating film; performing heat treatment on the oxide semiconductor film to remove a hydrogen atom in the oxide semiconductor film; forming a second insulating film over the oxide semiconductor film; and forming a gate electrode in a region overlapping with the oxide semiconductor film, over the second insulating film. The manufacturing method allows the formation of a semiconductor device including an oxide semiconductor, which has stable electrical characteristics and high reliability. | 12-12-2013 |
20130337606 | Nonvolatile Memory Device Using a Tunnel Nitride As A Current Limiter Element - Embodiments of the invention generally include a method of forming a nonvolatile memory device that contains a resistive switching memory element that has an improved device switching performance and lifetime, due to the addition of a current limiting component disposed therein. In one embodiment, the current limiting component comprises a resistive material that is configured to improve the switching performance and lifetime of the resistive switching memory element. The electrical properties of the current limiting layer are configured to lower the current flow through the variable resistance layer during the logic state programming steps (i.e., “set” and “reset” steps) by adding a fixed series resistance in the resistive switching memory element found in the nonvolatile memory device. In one embodiment, the current limiting component comprises a tunnel nitride that is a current limiting material that is disposed within a resistive switching memory element in a nonvolatile resistive switching memory device. | 12-19-2013 |
20130337607 | DEPOSITION METHOD AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide a deposition method in which a gallium oxide film is formed by a DC sputtering method. Another object is to provide a method for manufacturing a semiconductor device using a gallium oxide film as an insulating layer such as a gate insulating layer of a transistor. An insulating film is formed by a DC sputtering method or a pulsed DC sputtering method, using an oxide target including gallium oxide (also referred to as GaO | 12-19-2013 |
20130344649 | MEMORY ELEMENTS AND METHODS WITH IMPROVED DATA RETENTION AND/OR ENDURANCE - A method can include forming at least one memory layer over a first electrode, the memory layer having at least one element formed therein that oxidizes in the presence of an electric field to form conductive paths within the memory layer; and forming an inhibiting layer within the memory layer that increases an oxidation energy for the at least one element, as compared to the oxidation energy for the at least one element in the memory layer without the inhibiting layer. | 12-26-2013 |
20130344650 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device includes an oxide semiconductor film including a pair of first regions, a pair of second regions, and a third region; a pair of electrodes in contact with the oxide semiconductor film; a gate insulating film over the oxide semiconductor film; and a gate electrode provided between the pair of electrodes with the gate insulating film interposed therebetween. The pair of first regions overlap with the pair of electrodes, the third region overlaps with the gate electrode, and the pair of second regions are formed between the pair of first regions and the third region. The pair of second regions and the third region each contain nitrogen, phosphorus, or arsenic. The pair of second regions have a higher element concentration than the third region. | 12-26-2013 |
20140004656 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 01-02-2014 |
20140011319 | SEMICONDUCTOR DEVICE - An insulating layer containing a silicon peroxide radical is used as an insulating layer in contact with an oxide semiconductor layer for forming a channel. Oxygen is released from the insulating layer, whereby oxygen deficiency in the oxide semiconductor layer and an interface state between the insulating layer and the oxide semiconductor layer can be reduced. Accordingly, a semiconductor device where reliability is high and variation in electric characteristics is small can be manufactured. | 01-09-2014 |
20140011320 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a high reliable semiconductor device including a thin film transistor having stable electric characteristics. In a method for manufacturing a semiconductor device including a thin film transistor in which an oxide semiconductor film is used for a semiconductor layer including a channel formation region, heat treatment (which is for dehydration or dehydrogenation) is performed so as to improve the purity of the oxide semiconductor film and reduce impurities such as moisture. Besides impurities such as moisture existing in the oxide semiconductor film, heat treatment causes reduction of impurities such as moisture existing in the gate insulating layer and those in interfaces between the oxide semiconductor film and films which are provided over and below the oxide semiconductor film and are in contact with the oxide semiconductor film. | 01-09-2014 |
20140011321 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In a transistor including an oxide semiconductor film, a metal oxide film for preventing electrification which is in contact with the oxide semiconductor film and covers a source electrode and a drain electrode is formed. Then, oxygen is introduced (added) to the oxide semiconductor film through the metal oxide film and heat treatment is performed. Through these steps of oxygen introduction and heat treatment, impurities such as hydrogen, moisture, a hydroxyl group, or hydride are intentionally removed from the oxide semiconductor film, so that the oxide semiconductor film is highly purified. Further, by providing the metal oxide film, generation of a parasitic channel on a back channel side of the oxide semiconductor film can be prevented in the transistor. | 01-09-2014 |
20140017851 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A highly reliable semiconductor device is provided. A semiconductor device is manufactured at a high yield, so that high productivity is achieved. In a semiconductor device including a transistor in which a gate electrode layer, a gate insulating film, an oxide semiconductor film containing indium, and an insulating layer provided on and in contact with the oxide semiconductor film so as to overlap with the gate electrode layer are stacked and a source electrode layer and a drain electrode layer are provided in contact with the oxide semiconductor film and the insulating layer, the chlorine concentration and the indium concentration on a surface of the insulating layer are lower than or equal to 1×10 | 01-16-2014 |
20140030845 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - The amount of nitrogen that is transferred to an oxide semiconductor film of a transistor including the oxide semiconductor film is reduced. In addition, in a semiconductor device which includes a transistor including an oxide semiconductor film, change in electrical characteristics is suppressed and reliability is improved. After a nitrogen-containing oxide insulating film is formed over a transistor including an oxide semiconductor film where a channel region is formed, nitrogen is released from the nitrogen-containing oxide insulating film by heat treatment. Note that the nitrogen concentration which is obtained by secondary ion mass spectrometry (SIMS) is greater than or equal to the lower limit of detection by SIMS and less than 3×10 | 01-30-2014 |
20140030846 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is, in a thin film transistor in which an oxide semiconductor is used as an active layer, to prevent change in composition, film quality, an interface, or the like of an oxide semiconductor region serving as an active layer, and to stabilize electrical characteristics of the thin film transistor. In a thin film transistor in which a first oxide semiconductor region is used as an active layer, a second oxide semiconductor region having lower electrical conductivity than the first oxide semiconductor region is formed between the first oxide semiconductor region and a protective insulating layer for the thin film transistor, whereby the second oxide semiconductor region serves as a protective layer for the first oxide semiconductor region; thus, change in composition or deterioration in film quality of the first oxide semiconductor region can be prevented, and electrical characteristics of the thin film transistor can be stabilized. | 01-30-2014 |
20140038351 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In a transistor including an oxide semiconductor layer, an oxide insulating layer is formed so as to be in contact with the oxide semiconductor layer. Then, oxygen is introduced (added) to the oxide semiconductor layer through the oxide insulating layer, and heat treatment is performed. Through these steps of oxygen introduction and heat treatment, impurities such as hydrogen, moisture, a hydroxyl group, or hydride are intentionally removed from the oxide semiconductor layer, so that the oxide semiconductor layer is highly purified. | 02-06-2014 |
20140038352 | Non-volatile Resistive-Switching Memories - Non-volatile resistive-switching memories are described, including a memory element having a first electrode, a second electrode, a metal oxide between the first electrode and the second electrode. The metal oxide switches using bulk-mediated switching, has a bandgap greater than 4 electron volts (eV), has a set voltage for a set operation of at least one volt per one hundred angstroms of a thickness of the metal oxide, and has a leakage current density less than 40 amps per square centimeter (A/cm | 02-06-2014 |
20140045299 | FORMATION METHOD OF OXIDE SEMICONDUCTOR FILM - An oxide semiconductor film with high crystallinity is formed. An ion is made to collide with a sputtering target including a polycrystalline oxide containing a plurality of crystal grains to separate parts of the plurality of crystal grains and obtain flat plate-like sputtered particles, and the flat plate-like sputtered particles are deposited on a substrate having an insulating surface, which is heated at a temperature higher than 400° C. and lower than or equal to 500° C., to form an oxide semiconductor film including a crystal part over the substrate. Since the substrate which is a deposition surface is heated at a high temperature, the flat plate-like sputtered particles are rearranged and thus the oxide semiconductor film has a high film density. | 02-13-2014 |
20140051208 | Memory Cells and Methods of Forming Memory Cells - Some embodiments include memory cells which contain, in order; a first electrode material, a first metal oxide material, a second metal oxide material, and a second electrode material. The first metal oxide material has at least two regions which differ in oxygen concentration relative to one another. One of the regions is a first region and another is a second region. The first region is closer to the first electrode material than the second region, and has a greater oxygen concentration than the second region. The second metal oxide material includes a different metal than the first metal oxide material. Some embodiments include methods of forming memory cells in which oxygen is substantially irreversibly transferred from a region of a metal oxide material to an oxygen-sink material. The oxygen transfer creates a difference in oxygen concentration within one region of the metal oxide material relative to another. | 02-20-2014 |
20140051209 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Described is a method for manufacturing a semiconductor device. A mask is formed over an insulating film and the mask is reduced in size. An insulating film having a projection is formed using the mask reduced in size, and a transistor whose channel length is reduced is formed using the insulating film having a projection. Further, in manufacturing the transistor, a planarization process is performed on a surface of a gate insulating film which overlaps with a top surface of a fine projection. Thus, the transistor can operate at high speed and the reliability can be improved. In addition, the insulating film is processed into a shape having a projection, whereby a source electrode and a drain electrode can be formed in a self-aligned manner. | 02-20-2014 |
20140051210 | Nonvolatile Memory Elements - Nonvolatile memory elements that are based on resistive switching memory element layers are provided. A nonvolatile memory element may have a resistive switching metal oxide layer. The resistive switching metal oxide layer may have one or more layers of oxide. A resistive switching metal oxide may be doped with a dopant that increases its melting temperature and enhances its thermal stability. Layers may be formed to enhance the thermal stability of the nonvolatile memory element. An electrode for a nonvolatile memory element may contain a conductive layer and a buffer layer. | 02-20-2014 |
20140065766 | METHOD FOR FABRICATING WELL-ALIGNED ZINC OXIDE MICRORODS AND NANORODS AND APPLICATION THEREOF - The present invention relates to a method for fabricating well-aligned zinc oxide microrods and nanorods and application thereof and particularly relates to a method for fabricating well-aligned zinc oxide microrods and nanorods on a general substrate by hydrothermal method and application thereof. | 03-06-2014 |
20140073085 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - It is an object to provide a highly reliable semiconductor device which includes a thin film transistor having stable electric characteristics. It is another object to manufacture a highly reliable semiconductor device at lower cost with high productivity. In a method for manufacturing a semiconductor device which includes a thin film transistor where a semiconductor layer including a channel formation region using an oxide semiconductor layer, a source region, and a drain region are formed using an oxide semiconductor layer, heat treatment for reducing impurities such as moisture (heat treatment for dehydration or dehydrogenation) is performed so as to improve the purity of the oxide semiconductor layer. | 03-13-2014 |
20140073086 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide a semiconductor device having stable electric characteristics in which an oxide semiconductor is used. An oxide semiconductor layer is subjected to heat treatment for dehydration or dehydrogenation treatment in a nitrogen gas or an inert gas atmosphere such as a rare gas (e.g., argon or helium) or under reduced pressure and to a cooling step for treatment for supplying oxygen in an atmosphere of oxygen, an atmosphere of oxygen and nitrogen, or the air (having a dew point of preferably lower than or equal to −40° C., still preferably lower than or equal to −50° C.) atmosphere. The oxide semiconductor layer is thus highly purified, whereby an i-type oxide semiconductor layer is formed. A semiconductor device including a thin film transistor having the oxide semiconductor layer is manufactured. | 03-13-2014 |
20140080253 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A manufacturing method of a semiconductor device includes the steps of: forming a gate electrode over a substrate; forming a gate insulating film over the gate electrode; forming an oxide semiconductor film; performing heat treatment to form a second oxide semiconductor film after the step of forming the first oxide semiconductor film; forming a first conductive film; forming a first resist mask including regions whose thicknesses are different; etching the second oxide semiconductor film and the first conductive film using the first resist mask to form a third oxide semiconductor film and a second conductive film; reducing the size of the first resist mask to form a second resist mask; selectively etching the second conductive film using the second resist mask to remove a part of the second conductive film so that a source electrode and a drain electrode are formed. | 03-20-2014 |
20140080254 | Fabricating Method Of Thin Film Transistor, Fabricating Method Of Array Substrate And Display Device - An embodiment of the present invention provides a fabricating method of a thin film transistor, a fabricating method of an array substrate, and a display device. The fabricating method of a thin film transistor comprises: forming a gate electrode on a substrate; and forming a gate insulating layer, a semiconductor layer, source and drain electrodes and a channel region on the substrate, wherein, the semiconductor layer is formed of a metal oxide, and two etching steps are used to form the channel region, and in a first etching step, a part of a source-drain metal layer above the semiconductor layer corresponding to the channel region is removed by using a dry etching, and in a second etching step, a remaining part of the source-drain metal layer above the semiconductor layer corresponding to the channel region is removed by using a wet etching, thereby forming the channel region. | 03-20-2014 |
20140087516 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object to provide a material suitably used for used for a semiconductor included in a transistor, a diode, or the like, with the use of a sputtering method. Specifically, an object is to provide a manufacturing process an oxide semiconductor film having high crystallinity. By intentionally adding nitrogen to the oxide semiconductor, an oxide semiconductor film having a wurtzite crystal structure that is a hexagonal crystal structure is formed. In the oxide semiconductor film, the crystallinity of a region containing nitrogen is higher than that of a region hardly containing nitrogen or a region to which nitrogen is not intentionally added. The oxide semiconductor film having high crystallinity and having a wurtzite crystal structure is used as a channel formation region of a transistor. | 03-27-2014 |
20140087517 | SEMICONDUCTOR DEVICE - An object is to prevent an impurity such as moisture and oxygen from being mixed into an oxide semiconductor and suppress variation in semiconductor characteristics of a semiconductor device in which an oxide semiconductor is used. Another object is to provide a semiconductor device with high reliability. A gate insulating film provided over a substrate having an insulating surface, a source and a drain electrode which are provided over the gate insulating film, a first oxide semiconductor layer provided over the source electrode and the drain electrode, and a source and a drain region which are provided between the source electrode and the drain electrode and the first oxide semiconductor layer are provided. A barrier film is provided in contact with the first oxide semiconductor layer. | 03-27-2014 |
20140093998 | THIN FILM TRANSISTOR PANEL AND FABRICATING METHOD THEREOF - A thin film transistor panel includes a substrate, a light blocking layer on the substrate, a first protective film on the light blocking layer, a first electrode and a second electrode on the first protective film, an oxide semiconductor layer on a portion of the first protective film exposed between the first electrode and the second electrode, an insulating layer, a third electrode overlapping with the oxide semiconductor layer and on the insulating layer, and a fourth electrode on the insulating layer. The light blocking layer includes first sidewalls, and the first protective film includes second sidewalls. The first and the second sidewalls are disposed along substantially the same line. | 04-03-2014 |
20140099752 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An oxide semiconductor layer with excellent crystallinity is formed to enable manufacture of transistors with excellent electrical characteristics for practical application of a large display device, a high-performance semiconductor device, etc. By first heat treatment, a first oxide semiconductor layer is crystallized. A second oxide semiconductor layer is formed over the first oxide semiconductor layer. By second heat treatment, an oxide semiconductor layer including a crystal region having the c-axis oriented substantially perpendicular to a surface is efficiently formed and oxygen vacancies are efficiently filled. An oxide insulating layer is formed over and in contact with the oxide semiconductor layer. By third heat treatment, oxygen is supplied again to the oxide semiconductor layer. A nitride insulating layer containing hydrogen is formed over the oxide insulating layer. By fourth heat treatment, hydrogen is supplied at least to an interface between the second oxide semiconductor layer and the oxide insulating layer. | 04-10-2014 |
20140106502 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - Stable electrical characteristics and high reliability are provided for a miniaturized semiconductor device including an oxide semiconductor, and the semiconductor device is manufactured. The semiconductor device includes a base insulating layer; an oxide stack which is over the base insulating layer and includes an oxide semiconductor layer; a source electrode layer and a drain electrode layer over the oxide stack; a gate insulating layer over the oxide stack, the source electrode layer, and the drain electrode layer; a gate electrode layer over the gate insulating layer; and an interlayer insulating layer over the gate electrode layer. In the semiconductor device, the defect density in the oxide semiconductor layer is reduced. | 04-17-2014 |
20140106503 | Method for Manufacturing Semiconductor Device and Manufacturing Apparatus of Semiconductor Device - A semiconductor device including an oxide semiconductor and an organic resin film is manufactured in the following manner. Heat treatment is performed on a first substrate provided with an organic resin film over a transistor including an oxide semiconductor in a reduced pressure atmosphere; handling of the first substrate is performed in an atmosphere containing moisture as little as possible in an inert gas (e.g., nitrogen) atmosphere with a dew point of lower than or equal to −60° C., preferably with a dew point of lower than or equal to −75° C. without exposing the first substrate after the heat treatment to the air; and then, the first substrate is bonded to a second substrate that serves as an opposite substrate. | 04-17-2014 |
20140106504 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To provide a semiconductor device in which an increase in oxygen vacancies is suppressed. To provide a semiconductor device with favorable electrical characteristics. To provide a highly reliable semiconductor device. In a semiconductor device in which a channel formation region is included in an oxide semiconductor layer, an oxide insulating film below and in contact with the oxide semiconductor layer and a gate insulating film over and in contact with the oxide semiconductor layer are used to supply oxygen of the gate insulating film, which is introduced by an ion implantation method, to the oxide semiconductor layer. | 04-17-2014 |
20140106505 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Disclosed is a method to manufacture a thin film transistor having an oxide semiconductor as a channel formation region. The method includes; forming an oxide semiconductor layer over a gate insulating layer; forming a source and drain electrode layers over and in contact with the oxide semiconductor layer so that at least portion of the oxide semiconductor layer is exposed; and forming an oxide insulating film over and in contact with the oxide semiconductor layer. The exposed portion of the oxide semiconductor may be exposed to a gas containing oxygen in the presence of plasma before the formation of the oxide insulating film. The method allows oxygen to be diffused into the oxide semiconductor layer, which contributes to the excellent characteristics of the thin film transistor. | 04-17-2014 |
20140106506 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device includes an oxide semiconductor layer including a crystalline region over an insulating surface, a source electrode layer and a drain electrode layer in contact with the oxide semiconductor layer, a gate insulating layer covering the oxide semiconductor layer, the source electrode layer, and the drain electrode layer, and a gate electrode layer over the gate insulating layer in a region overlapping with the crystalline region. The crystalline region includes a crystal whose c-axis is aligned in a direction substantially perpendicular to a surface of the oxide semiconductor layer. | 04-17-2014 |
20140113405 | METHOD FOR FORMING MULTILAYER FILM INCLUDING OXIDE SEMICONDUCTOR FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To form an oxide semiconductor film with a low density of localized levels. To improve electric characteristics of a semiconductor device including the oxide semiconductor. After oxygen is added to an oxide film containing In or Ga in contact with an oxide semiconductor film functioning as a channel, heat treatment is performed to make oxygen in the oxide film containing In or Ga transfer to the oxide semiconductor film functioning as a channel, so that the amount of oxygen vacancies in the oxide semiconductor film is reduced. Further, an oxide film containing In or Ga is formed, oxygen is added to the oxide film, an oxide semiconductor film is formed over the oxide film, and then heat treatment is performed. | 04-24-2014 |
20140113406 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to manufacture a highly reliable semiconductor device including a thin film transistor with stable electric characteristics. In a method for manufacturing a semiconductor device including a thin film transistor in which an oxide semiconductor film is used for a semiconductor layer including a channel formation region, heat treatment (for dehydration or dehydrogenation) is performed to improve the purity of the oxide semiconductor film and reduce impurities including moisture or the like. After that, slow cooling is performed under an oxygen atmosphere. Besides impurities including moisture or the like exiting in the oxide semiconductor film, heat treatment causes reduction of impurities including moisture or the like exiting in a gate insulating layer and those in interfaces between the oxide semiconductor film and films which are provided over and below the oxide semiconductor and in contact therewith. | 04-24-2014 |
20140113407 | SEMICONDUCTOR ELEMENT AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a thin film transistor and a method for manufacturing the thin film transistor including an oxide semiconductor with a controlled threshold voltage, high operation speed, a relatively easy manufacturing process, and sufficient reliability. An impurity having influence on carrier concentration in the oxide semiconductor layer, such as a hydrogen atom or a compound containing a hydrogen atom such as H | 04-24-2014 |
20140113408 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - Disclosed is a semiconductor device including an oxide semiconductor film. A first oxide semiconductor film with a thickness of greater than or equal to 2 nm and less than or equal to 15 nm is formed over a gate insulating layer. First heat treatment is performed so that crystal growth from a surface of the first oxide semiconductor film to the inside thereof is caused, whereby a first crystal layer is formed. A second oxide semiconductor film with a thickness greater than that of the first oxide semiconductor film is formed over the first crystal layer. Second heat treatment is performed so that crystal growth from the first crystal layer to a surface of the second oxide semiconductor film is caused, whereby a second crystal layer is formed. Further, oxygen doping treatment is performed on the second crystal layer. | 04-24-2014 |
20140120657 | Back Channel Etching Oxide Thin Film Transistor Process Architecture - A method is provided for fabricating a back channel etching (BCE) oxide thin film transistor (TFT) for a liquid crystal display. The method includes forming a first metal layer having a first portion and a second portion over a substrate, depositing a gate insulator over the first metal layer, and disposing a semiconductor layer over the gate insulator. The method also includes depositing a half-tone photoresist to cover a first portion of the semiconductor layer and the first portion of the first metal layer. The half-tone photoresist has a first portion and a second portion thicker than the first portion. The first portion has a via hole above the second portion of the first metal layer. The second portion of the half-tone photoresist covers the first portion of the first metal layer. The method further includes etching a portion of the gate insulator through the via hole such that the second portion of the first metal layer is exposed, removing the first portion of the half-tone photoresist while remaining the second portion of the half-tone photoresist, and etching to remove a second portion of the semiconductor layer that is not covered by the half-tone photoresist. | 05-01-2014 |
20140120658 | METHOD OF FABRICATING ARRAY SUBSTRATE - A method of fabrication an array substrate includes forming an oxide semiconductor layer on a substrate; sequentially forming a gate insulating layer and a gate electrode corresponding to a central portion of the oxide semiconductor layer; forming source and drain areas having conductive properties in the oxide semiconductor layer by performing hydrogen plasma treatment; forming barrier layers on the source and drain areas, the barrier layer having a first thickness; forming an inter insulating layer on the gate electrode and having first contact holes that expose the barrier layers; and forming source and drain electrodes on the inter insulating layer and contacting the barrier layers through the first contact holes, respectively. | 05-01-2014 |
20140120659 | ARRAY SUBSTRATE AND METHOD OF FABRICATING THE SAME - A method of fabricating an array substrate and a display device including the array substrate are discussed. According to an embodiment, the array substrate includes a gate electrode formed on a substrate; a gate insulating layer formed on the gate electrode; an oxide semiconductor layer and an etch prevention layer formed on the gate insulating layer, wherein ends of the oxide semiconductor layer and ends of the etch prevention layer are aligned with each other; source and drain electrodes formed on the etch prevention layer; a passivation layer including a contact hole formed on the source and drain electrodes and on the gate insulating layer; and a pixel electrode formed on the passivation layer and through the contact hole. | 05-01-2014 |
20140120660 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An insulating layer which releases a large amount of oxygen is used as an insulating layer in contact with a channel region of an oxide semiconductor layer, and an insulating layer which releases a small amount of oxygen is used as an insulating layer in contact with a source region and a drain region of the oxide semiconductor layer. By releasing oxygen from the insulating layer which releases a large amount of oxygen, oxygen deficiency in the channel region and an interface state density between the insulating layer and the channel region can be reduced, so that a highly reliable semiconductor device having small variation in electrical characteristics can be manufactured. The source region and the drain region are provided in contact with the insulating layer which releases a small amount of oxygen, thereby suppressing the increase of the resistance of the source region and the drain region. | 05-01-2014 |
20140134793 | METHODS FOR MAKING LARGE-AREA, FREE-STANDING METAL OXIDE FILMS - The present invention provides continuous, free-standing metal oxide films and methods for making said films. The methods are able to produce large-area, flexible, thin films having one or more continuous, single-crystalline metal oxide domains. The methods include the steps of forming a surfactant monolayer at the surface of an aqueous solution, wherein the headgroups of the surfactant molecules provide a metal oxide film growth template. When metal ions in the aqueous solution are exposed to the metal oxide film growth template in the presence of hydroxide ions under suitable conditions, a continuous, free-standing metal oxide film can be grown from the film growth template downward into the aqueous solution. | 05-15-2014 |
20140134794 | Nonvolatile Memory Device Having An Electrode Interface Coupling Region - Embodiments of the invention generally relate to a resistive switching nonvolatile memory device having an interface layer structure disposed between at least one of the electrodes and a variable resistance layer formed in the nonvolatile memory device, and a method of forming the same. Typically, resistive switching memory elements may be formed as part of a high-capacity nonvolatile memory integrated circuit, which can be used in various electronic devices, such as digital cameras, mobile telephones, handheld computers, and music players. In one configuration of the resistive switching nonvolatile memory device, the interface layer structure comprises a passivation region, an interface coupling region, and/or a variable resistance layer interface region that are configured to adjust the nonvolatile memory device's performance, such as lowering the formed device's switching currents and reducing the device's forming voltage, and reducing the performance variation from one formed device to another. | 05-15-2014 |
20140134795 | SEMICONDUCTOR ELEMENT MANUFACTURING METHOD - There is provided a method of manufacturing a semiconductor element including: forming a semiconductor film of which a principal constituent is an oxide semiconductor; forming a first insulation film on a surface of the semiconductor film; applying a heat treatment in an oxidizing atmosphere; and, forming a second insulation film on a surface of the first insulation film, wherein a thickness of the first insulation film and a temperature of the heat treatment in the third step are adjusted such that, if the thickness of the first insulation film is represented by Z (nm), the heat treatment temperature is represented by T (° C.) and a diffusion distance of oxygen into the first insulation film and the semiconductor film is represented by L (nm), the relational expression 005-15-2014 | |
20140141565 | GATE INSULATOR UNIFORMITY - Embodiments of the present disclosure relate to display devices and methods for manufacturing display devices. Specifically, embodiments of the present disclosure employ an enhanced etching process to create uniformity in the gate insulator of thin-film-transistor (TFTs) by using an active layer to protect the gate insulator from inadvertent etching while patterning an etch stop layer. | 05-22-2014 |
20140147966 | SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND PRODUCTION METHOD FOR SEMICONDUCTOR DEVICE AND DISPLAY DEVICE - The semiconductor device ( | 05-29-2014 |
20140147967 | METHOD OF MANUFACTURING OXIDE THIN FILM TRANSISTOR - A method of manufacturing an oxide thin film transistor includes forming a gate electrode on a substrate; forming a gate insulating film the gate electrode; forming an oxide semiconductor layer on the gate insulating film; sequentially forming a lower data metal layer and an upper data metal layer on including the oxide semiconductor layer; forming an upper source pattern and an upper drain pattern by patterning the upper data metal layer by a wet etching; forming a lower source pattern and a lower drain pattern by patterning the lower data metal layer by a dry etching using the upper source pattern and the upper drain pattern as a mask to form a source electrode and a drain electrode; forming a first passivation film on the source and drain electrodes; performing a heat treatment on the oxide semiconductor layer; and forming a second passivation film on the first passivation film. | 05-29-2014 |
20140147968 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Provided is a method for manufacturing a semiconductor device so as not expose a semiconductor layer to moisture and the number of masks is reduced. For example, a first conductive film, a first insulating film, a semiconductor film, a second conductive film, and a mask film are formed. The first mask film is processed to form a first mask layer. Dry etching is performed on the first insulating film, the semiconductor film, and the second conductive film with the use of the first mask layer to form a thin film stack body, so that a surface of the first conductive film is at least exposed. Sidewall insulating layers covering side surfaces of the thin film stack body are formed. The first conductive film is side-etched to form a first electrode. A second electrode layer is formed with the second mask layer. | 05-29-2014 |
20140147969 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - An object is to provide a technique by which a semiconductor device including a high-performance and high-reliable transistor is manufactured. A protective conductive film which protects an oxide semiconductor layer when a wiring layer is formed from a conductive layer is formed between the oxide semiconductor layer and the conductive layer, and an etching process having two steps is performed. In a first etching step, an etching is performed under conditions that the protective conductive film is less etched than the conductive layer and the etching selectivity of the conductive layer to the protective conductive film is high. In a second etching step, etching is performed under conditions that the protective conductive film is more easily etched than the oxide semiconductor layer and the etching selectivity of the protective conductive film to the oxide semiconductor layer is high. | 05-29-2014 |
20140154837 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To improve electric characteristics of a semiconductor device including an oxide semiconductor. Alternatively, to improve reliability of a semiconductor device including an oxide semiconductor. In a transistor including a first oxide film, an oxide semiconductor film, a pair of electrodes in contact with the oxide semiconductor film, and a second oxide film in contact with the oxide semiconductor film and the pair of electrodes, oxygen is added to the first oxide film and the second oxide film in contact with the oxide semiconductor film and the pair of electrodes, so that oxygen vacancies are reduced. The oxygen is diffused to the oxide semiconductor film by heat treatment or the like; thus, oxygen vacancies in the oxide semiconductor film are reduced. | 06-05-2014 |
20140162402 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - It is an object to provide a semiconductor device having a new productive semiconductor material and a new structure. The semiconductor device includes a first conductive layer over a substrate, a first insulating layer which covers the first conductive layer, an oxide semiconductor layer over the first insulating layer that overlaps with part of the first conductive layer and has a crystal region in a surface part, second and third conductive layers formed in contact with the oxide semiconductor layer, an insulating layer which covers the oxide semiconductor layer and the second and third conductive layers, and a fourth conductive layer over the insulating layer that overlaps with part of the oxide semiconductor layer. | 06-12-2014 |
20140162403 | ETCHING SOLUTION FOR COPPER/MOLYBDENUM-BASED MULTILAYER THIN FILM - The present invention relates to an etching solution being capable of selectively etching a copper/molybdenum-based multilayer thin film with respect to a semiconductor device having an oxide semiconductor layer and a copper/molybdenum-based multilayer thin film, wherein the etching solution comprises (A) hydrogen peroxide, (B) an inorganic acid containing no fluorine atom, (C) an organic acid, (D) an amine compound having 2 to 10 carbon atoms, and having an amino group and at least one group selected from an amino group and a hydroxyl group, (E) an azole, and (F) a hydrogen peroxide stabilizer, and has a pH of 2.5 to 5, as well as an etching method using the etching solution for selectively etching a copper/molybdenum-based multilayer thin film from a semiconductor device having an oxide semiconductor layer and a copper/molybdenum-based multilayer thin film. | 06-12-2014 |
20140170809 | Method For Manufacturing Semiconductor Device - An embodiment of the disclosed invention is a method for manufacturing a semiconductor device, which includes the steps of: forming a first insulating film; performing oxygen doping treatment on the first insulating film to supply oxygen to the first insulating film; forming a source electrode, a drain electrode, and an oxide semiconductor film electrically connected to the source electrode and the drain electrode, over the first insulating film; performing heat treatment on the oxide semiconductor film to remove a hydrogen atom in the oxide semiconductor film; forming a second insulating film over the oxide semiconductor film; and forming a gate electrode in a region overlapping with the oxide semiconductor film, over the second insulating film. The manufacturing method allows the formation of a semiconductor device including an oxide semiconductor, which has stable electrical characteristics and high reliability. | 06-19-2014 |
20140179057 | METHOD FOR MANUFACTURING OXIDE SEMICONDUCTOR LAYER AND THIN FILM TRANSISTOR HAVING OXIDE SEMICONDUCTOR LAYER - A method for manufacturing an oxide semiconductor layer includes following steps: providing a substrate; forming an oxide semiconductor layer on the substrate by sputtering a first kind of metallic ions from a first metallic oxide sputtering target, and sputtering at least two second kinds of metallic ions from a second metallic oxide sputtering target. The at least two second kind of metallic ions are different from the first kind of metallic ions. A proportion of the first kind of metallic ions and the at least two second kind of metallic ions is adjustable by controlling a depositing speed of the oxide semiconductor layer and a period of using a baffle plate in sputtering. A method for manufacturing a thin film transistor is also provided. | 06-26-2014 |
20140179058 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to manufacture a semiconductor device including an oxide semiconductor at low cost with high productivity in such a manner that a photolithography process is simplified by reducing the number of light-exposure masks In a method for manufacturing a semiconductor device including a channel-etched inverted-staggered thin film transistor, an oxide semiconductor film and a conductive film are etched using a mask layer formed with the use of a multi-tone mask which is a light-exposure mask through which light is transmitted so as to have a plurality of intensities. In etching steps, a first etching step is performed by wet etching in which an etchant is used, and a second etching step is performed by dry etching in which an etching gas is used. | 06-26-2014 |
20140186996 | ETCHANT AND ETCHING PROCESS FOR OXIDES CONTAINING AT LEAST INDIUM AND GALLIUM - The present invention relates to an etchant and an etching process, which are preferred for use in etching of oxides containing at least indium and gallium, such as an oxide consisting of indium, gallium and oxygen or an oxide consisting of indium, gallium, zinc and oxygen. According to preferred embodiments of the present invention, an etchant comprising sulfuric acid or a salt thereof and a carboxylic acid (except for oxalic acid) or a salt thereof ensures a preferred etching rate, a good residue removal property and low corrosiveness to wiring materials when used in etching of oxides containing at least indium and gallium. Moreover, this etchant not only causes no precipitate but also retains a preferred etching rate even when the concentration of oxides dissolved in the etchant is elevated. | 07-03-2014 |
20140186997 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a display device with excellent display characteristics, where a pixel circuit and a driver circuit provided over one substrate are formed using transistors which have different structures corresponding to characteristics of the respective circuits. The driver circuit portion includes a driver circuit transistor in which a gate electrode layer, a source electrode layer, and a drain electrode layer are formed using a metal film, and a channel layer is formed using an oxide semiconductor. The pixel portion includes a pixel transistor in which a gate electrode layer, a source electrode layer, and a drain electrode layer are formed using an oxide conductor, and a semiconductor layer is formed using an oxide semiconductor. The pixel transistor is formed using a light-transmitting material, and thus, a display device with higher aperture ratio can be manufactured. | 07-03-2014 |
20140186998 | SEMICONDUCTOR DEVICE - A highly reliable semiconductor device which is formed using an oxide semiconductor and has stable electric characteristics is provided. A semiconductor device which includes an amorphous oxide semiconductor layer including a region containing oxygen in a proportion higher than that in the stoichiometric composition, and an aluminum oxide film provided over the amorphous oxide semiconductor layer is provided. The amorphous oxide semiconductor layer is formed as follows: oxygen implantation treatment is performed on a crystalline or amorphous oxide semiconductor layer which has been subjected to dehydration or dehydrogenation treatment, and then thermal treatment is performed on the oxide semiconductor layer provided with an aluminum oxide film at a temperature lower than or equal to 450° C. | 07-03-2014 |
20140193945 | SOLUTION FOR ETCHING A THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - Disclosed herein is an aqueous alkaline etching solution comprising water and an alkaline material being selected from the group consisting of ammonium hydroxide, ammonium phosphate, ammonium carbonate, quaternary ammonium hydroxide, quaternary ammonium phosphate, quaternary ammonium carbonate, an alkali metal hydroxide, an alkaline earth metal hydroxide, or a combination comprising at least one of the foregoing alkaline materials; the aqueous alkaline solution being operative to etch aluminum oxide at a rate greater than or equal to about 2:1 over a rate at which it etches a metal oxide semiconductor to be protected; wherein the aqueous etching solution has a pH of 8 to 13. | 07-10-2014 |
20140193946 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A larger substrate can be used, and a transistor having a desirably high field-effect mobility can be manufactured through formation of an oxide semiconductor layer having a high degree of crystallinity, whereby a large-sized display device, a high-performance semiconductor device, or the like can be put into practical use. A first multi-component oxide semiconductor layer is formed over a substrate and a single-component oxide semiconductor layer is formed thereover; then, crystal growth is carried out from a surface to an inside by performing heat treatment at 500° C. to 1000° C. inclusive, preferably 550° C. to 750° C. inclusive so that a first multi-component oxide semiconductor layer including single crystal regions and a single-component oxide semiconductor layer including single crystal regions are formed; and a second multi-component oxide semiconductor layer including single crystal regions is stacked over the single-component oxide semiconductor layer including single crystal regions. | 07-10-2014 |
20140193947 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Oxygen vacancies in an oxide semiconductor film and the vicinity of the oxide semiconductor film are reduced and electric characteristics of a transistor including the oxide semiconductor film are improved. Further, a highly reliable semiconductor device including the transistor including the oxide semiconductor film is provided. In the transistor including the oxide semiconductor film, at least one insulating film in contact with the oxide semiconductor film contains excess oxygen. By the excess oxygen included in the insulating film in contact with the oxide semiconductor film, oxygen vacancies in the oxide semiconductor film and the vicinity of the oxide semiconductor film can be reduced. Note that the insulating film including the excess oxygen has a profile of the excess oxygen concentration having two or more local maximum values in the depth direction. | 07-10-2014 |
20140199809 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An object is to reduce to reduce variation in threshold voltage to stabilize electric characteristics of thin film transistors each using an oxide semiconductor layer. An object is to reduce an off current. The thin film transistor using an oxide semiconductor layer is formed by stacking an oxide semiconductor layer containing insulating oxide over the oxide semiconductor layer so that the oxide semiconductor layer and source and drain electrode layers are in contact with each other with the oxide semiconductor layer containing insulating oxide interposed therebetween; whereby, variation in threshold voltage of the thin film transistors can be reduced and thus the electric characteristics can be stabilized. Further, an off current can be reduced. | 07-17-2014 |
20140206137 | DEPOSITION SYSTEM FOR THIN FILM FORMATION - A process for depositing a thin film material on a substrate is disclosed, comprising simultaneously directing a series of gas flows from the output face of a delivery head of a thin film deposition system toward the surface of a substrate, and wherein the series of gas flows comprises at least a first reactive gaseous material, an inert purge gas, and a second reactive gaseous material, wherein the first reactive gaseous material is capable of reacting with a substrate surface treated with the second reactive gaseous material, wherein one or more of the gas flows provides a pressure that at least contributes to the separation of the surface of the substrate from the face of the delivery head. A system capable of carrying out such a process is also disclosed. | 07-24-2014 |
20140206138 | COMPLEMENTARY METAL OXIDE HETEROJUNCTION MEMORY DEVICES AND METHODS FOR CYCLING ROBUSTNESS AND DATA RETENTION - A memory device is disclosed. The memory device comprises a first metal layer and a first metal oxide layer coupled to the first metal layer. The first metal layer is also coupled to a second metal oxide, which in turn is couple to a second metal layer. The formation of the first metal oxide layer may occur in-situ when the first metal oxide layer has a Gibbs free energy that is lower than the Gibbs free energy for the formation of the second metal oxide layer. Control of the oxygen vacancy or ion concentrations of the first metal oxide layer and the second metal oxide layer is utilized in the information and the operation of the memory device. Selection of a dielectric constant and a thickness of the first and second metal oxide layer may be utilized to result in similar electrical field stress across the first metal oxide layer and the second metal oxide layer and improve the cycling robustness and data retention for the memory device. | 07-24-2014 |
20140206139 | METHODS FOR FABRICATING A THIN FILM TRANSISTOR AND AN ARRAY SUBSTRATE - The present invention provides methods for fabricating a thin film transistor and an array substrate, which are applicable in the field of display device fabrication, and solve the problem of performing patterning process too many times during the fabrications of a thin film transistor and an array substrate. The method for fabricating a thin film transistor comprises: forming a gate layer on a substrate; forming a gate insulation layer on the substrate; forming an oxide semiconductor layer and a barrier layer and on the substrate; and forming a source-drain layer on the substrate, wherein, the step of forming the oxide semiconductor layer and the barrier layer comprises: sequentially forming an oxide semiconductor film a the barrier film; and forming the oxide semiconductor layer from the oxide semiconductor film and the barrier layer from the barrier film by performing a patterning process once. | 07-24-2014 |
20140220734 | METHOD FOR CONTROLLING CONCENTRATION OF DONOR IN GA2O3-BASED SINGLE CRYSTAL - A method for controlling the concentration of a donor in a Ga | 08-07-2014 |
20140235014 | METHOD FOR MANUFACTURING A METAL-INSULATOR-SEMICONDUCTOR (MIS) STRUCTURE FOR AN ELECTROLUMINESCENT DIODE - A method for manufacturing a structure comprising a substrate made of at least one n-type semiconducting metal oxide is disclosed. In one aspect, the method comprises providing a substrate made of at least one n-type semiconducting metal oxide selected from the group consisting of: ZnO, CdO, MgO, ZnMgO, and ZnCdO, wherein the doping rate of which is less than or equal to 10 | 08-21-2014 |
20140235015 | METHOD FOR MANUFACTURING OXIDE SEMICONDUCTOR FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide an oxide semiconductor having stable electric characteristics and a semiconductor device including the oxide semiconductor. A manufacturing method of a semiconductor film by a sputtering method includes the steps of holding a substrate in a treatment chamber which is kept in a reduced-pressure state; heating the substrate at lower than 400° C.; introducing a sputtering gas from which hydrogen and moisture are removed in the state where remaining moisture in the treatment chamber is removed; and forming an oxide semiconductor film over the substrate with use of a metal oxide which is provided in the treatment chamber as a target. When the oxide semiconductor film is formed, remaining moisture in a reaction atmosphere is removed; thus, the concentration of hydrogen and the concentration of hydride in the oxide semiconductor film can be reduced. Thus, the oxide semiconductor film can be stabilized. | 08-21-2014 |
20140242749 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Electrical characteristics of transistors using an oxide semiconductor are greatly varied in a substrate, between substrates, and between lots, and the electrical characteristics are changed due to heat, bias, light, or the like in some cases. In view of the above, a semiconductor device using an oxide semiconductor with high reliability and small variation in electrical characteristics is manufactured. In a method for manufacturing a semiconductor device, hydrogen in a film and at an interface between films is removed in a transistor using an oxide semiconductor. In order to remove hydrogen at the interface between the films, the substrate is transferred under a vacuum between film formations. Further, as for a substrate having a surface exposed to the air, hydrogen on the surface of the substrate may be removed by heat treatment or plasma treatment. | 08-28-2014 |
20140248740 | MIXED VALENT OXIDE MEMORY AND METHOD - Memory devices and methods of forming include a mixed valent oxide located between a first electrode and a second electrode. Implantation of a metal below a surface of one of the electrodes allows formation of the mixed valent oxide with a direct interface to the electrode. An intermetallic oxide can be subsequently formed between the mixed valent oxide and the electrode by annealing the structure. | 09-04-2014 |
20140256086 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A transistor with superior electric characteristics is manufactured. An oxide insulating film is formed over a substrate, an oxide semiconductor film is formed over the oxide insulating film, heat treatment is then conducted at a temperature at which hydrogen contained in the oxide semiconductor film is desorbed and part of oxygen contained in the oxide insulating film is desorbed, then the heated oxide semiconductor film is etched into a predetermined shape to form an island-shaped oxide semiconductor film, a pair of electrodes is formed over the island-shaped oxide semiconductor film, a gate insulating film is formed over the pair of electrodes and the island-shaped oxide semiconductor film, and a gate electrode is formed over the gate insulating film. | 09-11-2014 |
20140273340 | High Productivity Combinatorial Screening for Stable Metal Oxide TFTs - Methods for HPC techniques are applied to the processing of site-isolated regions (SIR) on a substrate to form at least a portion of a TFT device used in display applications. The processing may be applied to at least one of gate electrode deposition, gate electrode patterning, gate dielectric deposition, gate dielectric patterning, metal-based semiconductor material (e.g. IGZO) deposition, metal-based semiconductor material (e.g. IGZO) patterning, etch stop deposition, etch stop patterning, source/drain deposition, source/drain patterning, passivation deposition, or passivation patterning. The SIRs may be defined during the deposition process with uniform deposition within each SIR or the SIRs may be defined subsequent to the deposition of layers wherein the layers are deposited with a gradient in one or more properties across the substrate. | 09-18-2014 |
20140273341 | Methods for Forming Back-Channel-Etch Devices with Copper-Based Electrodes - Embodiments described herein provide methods for forming indium-gallium-zinc oxide (IGZO) devices. A substrate is provided. An IGZO layer is formed above the substrate. A copper-containing layer is formed above the IGZO layer. A wet etch process is performed on the copper-containing layer to form a source region and a drain region above the IGZO layer. The performing of the wet etch process on the copper-containing layer includes exposing the copper-containing layer to an etching solution including a peroxide compound and one of citric acid, formic acid, malonic acid, lactic acid, etidronic acid, phosphonic acid, or a combination thereof. | 09-18-2014 |
20140273342 | VTH CONTROL METHOD OF MULTIPLE ACTIVE LAYER METAL OXIDE SEMICONDUCTOR TFT - The present invention generally relates to TFTs and methods for fabricating TFTs. When multiple layers are used for the semiconductor material in a TFT, a negative Vth shift may result. By exposing the semiconductor layer to an oxygen containing plasma and/or forming an etch stop layer thereover, the negative Vth shift may be negated. | 09-18-2014 |
20140273343 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to manufacture a semiconductor device including an oxide semiconductor at low cost with high productivity in such a manner that a photolithography process is simplified by reducing the number of light-exposure masks. In a method for manufacturing a semiconductor device including a channel-etched inverted-staggered thin film transistor, an oxide semiconductor film and a conductive film are etched using a mask layer formed with the use of a multi-tone mask which is a light-exposure mask through which light is transmitted so as to have a plurality of intensities. In etching steps, a first etching step is performed by dry etching in which an etching gas is used, and a second etching step is performed by wet etching in which an etchant is used. | 09-18-2014 |
20140287552 | METHOD FOR PROCESSING THIN FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A stable and minute processing method of a thin film is provided. Further, a miniaturized semiconductor device is provided. A method for processing a thin film includes the following steps: forming a film to be processed over a formation surface; forming an organic coating film over the film to be processed; forming a resist film over the organic coating film; exposing the resist film to light_or_an electron beam; removing part of the resist film by development to expose part of the organic coating film; depositing an organic material layer on the top surface and a side surface of the resist film by plasma treatment; etching part of the organic coating film using the resist film and the organic material layer as masks to expose part of the film to be processed; and etching part of the film to be processed using the resist film and the organic material layer as masks. | 09-25-2014 |
20140295616 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To provide a miniaturized transistor having favorable electric characteristics. An oxide semiconductor layer is formed to cover a source electrode layer and a drain electrode layer, and then regions of the oxide semiconductor layer which overlap with the source electrode layer and the drain electrode layer are removed by polishing. Precise processing can be performed accurately because an etching step using a resist mask is not performed in the step of removing the regions of the oxide semiconductor layer overlapping with the source electrode layer and the drain electrode layer. Further, a sidewall layer having conductivity is provided on a side surface of a gate electrode layer in a channel length direction; thus, the sidewall layer having conductivity overlaps with the source electrode layer or the drain electrode layer with a gate insulating layer provided therebetween, and a transistor substantially including an L | 10-02-2014 |
20140295617 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A highly reliable semiconductor device which includes a transistor including an oxide semiconductor is provided. In the semiconductor device including a bottom-gate transistor including an oxide semiconductor layer, a stacked layer of an insulating layer and an aluminum film is provided in contact with the oxide semiconductor layer. Oxygen doping treatment is performed in such a manner that oxygen is introduced to the insulating layer and the aluminum film from a position above the aluminum film, whereby a region containing oxygen in excess of the stoichiometric composition is formed in the insulating layer, and the aluminum film is oxidized to form an aluminum oxide film. | 10-02-2014 |
20140302638 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device having favorable electric characteristics and a manufacturing method thereof are provided. A transistor includes an oxide semiconductor layer formed over an insulating layer, a source electrode layer and a drain electrode layer which overlap with part of the oxide semiconductor layer, a gate insulating layer in contact with part of the oxide semiconductor layer, and a gate electrode layer over the gate insulating layer. In the transistor, a buffer layer having n-type conductivity is formed between the source electrode layer and the oxide semiconductor layer and between the drain electrode layer and the oxide semiconductor layer. Thus, parasitic resistance is reduced, resulting in improvement of on-state characteristics of the transistor. | 10-09-2014 |
20140302639 | SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND ELECTRONIC APPLIANCE - To reduce adverse effects on actual operation and to reduce adverse effects of noise. A structure including an electrode, a wiring electrically connected to the electrode, an oxide semiconductor layer overlapping with the electrode in a plane view, an insulating layer provided between the electrode and the oxide semiconductor layer in a cross-sectional view, and a functional circuit to which a signal is inputted from the electrode through the wiring and in which operation is controlled in accordance with the signal inputted. A capacitor is formed using an oxide semiconductor layer, an insulating layer, and a wiring or an electrode. | 10-09-2014 |
20140308777 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor device including an oxide semiconductor with stable electric characteristics and high reliability is provided. An island-shaped oxide semiconductor layer is formed by using a resist mask, the resist mask is removed, oxygen is introduced (added) to the oxide semiconductor layer, and heat treatment is performed. The removal of the resist mask, introduction of the oxygen, and heat treatment are performed successively without exposure to the air. Through the oxygen introduction and heat treatment, impurities such as hydrogen, moisture, a hydroxyl group, or hydride are intentionally removed from the oxide semiconductor layer, whereby the oxide semiconductor layer is highly purified. Chlorine may be introduced to an insulating layer over which the oxide semiconductor layer is formed before formation of the oxide semiconductor layer. By introducing chlorine, hydrogen in the insulating layer can be fixed, thereby preventing diffusion of hydrogen from the insulating layer into the oxide semiconductor layer. | 10-16-2014 |
20140315349 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device in which fluctuation in electric characteristics due to miniaturization is less likely to be caused is provided. The semiconductor device includes an oxide semiconductor film including a first region, a pair of second regions in contact with side surfaces of the first region, and a pair of third regions in contact with side surfaces of the pair of second regions; a gate insulating film provided over the oxide semiconductor film; and a first electrode that is over the gate insulating film and overlaps with the first region. The first region is a CAAC oxide semiconductor region. The pair of second regions and the pair of third regions are each an amorphous oxide semiconductor region containing a dopant. The dopant concentration of the pair of third regions is higher than the dopant concentration of the pair of second regions. | 10-23-2014 |
20140322862 | METHOD OF MAKING A RESISTIVE RANDOM ACCESS MEMORY DEVICE WITH METAL-DOPED RESISTIVE SWITCHING LAYER - A method for forming a resistive random access memory (RRAM) device is disclosed. The method comprises forming a first electrode, forming a resistive switching oxide layer comprising a metal oxide by thermal atomic layer deposition (ALD), doping the resistive switching oxide layer with a metal dopant different from metal forming the metal oxide, and forming a second electrode by thermal atomic layer deposition (ALD), where the resistive switching layer is interposed between the first electrode and the second electrode. In some embodiments, forming the resistive switching oxide may be performed without exposing a surface of the switching oxide layer to a surface-modifying plasma treatment after depositing the metal oxide. | 10-30-2014 |
20140335652 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A miniaturized semiconductor device including a transistor in which a channel formation region is formed using an oxide semiconductor film and variation in electric characteristics due to a short-channel effect is suppressed is provided. In addition, a semiconductor device whose on-state current is improved is provided. A semiconductor device is provided with an oxide semiconductor film including a pair of second oxide semiconductor regions which are amorphous regions and a first oxide semiconductor region located between the pair of second oxide semiconductor regions, a gate insulating film, and a gate electrode provided over the first oxide semiconductor region with the gate insulating film interposed therebetween. Hydrogen or a rare gas is added to the second oxide semiconductor regions. | 11-13-2014 |
20140335653 | TRANSISTOR, LIQUID CRYSTAL DISPLAY DEVICE, AND MANUFACTURING METHOD THEREOF - Photolithography and etching steps for forming an island-shaped semiconductor layer are omitted, and a liquid crystal display device is manufactured with four photolithography steps: a step of forming a gate electrode (including a wiring formed using the same layer as the gate electrode), a step of forming source and drain electrodes (including a wiring formed using the same layer as the source and drain electrodes), a step of forming a contact hole (including the removal of an insulating layer and the like in a region other than the contact hole), and a step of forming a pixel electrode (including a wiring formed using the same layer as the pixel electrode). By the reduction in the number of photolithography steps, a liquid crystal display device can be provided at low cost and high productivity. Formation of a parasitic channel is prevented by an improvement in shape and potential of a wiring. | 11-13-2014 |
20140342498 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device is manufactured using a transistor in which an oxide semiconductor is included in a channel region and variation in electric characteristics due to a short-channel effect is less likely to be caused. The semiconductor device includes an oxide semiconductor film having a pair of oxynitride semiconductor regions including nitrogen and an oxide semiconductor region sandwiched between the pair of oxynitride semiconductor regions, a gate insulating film, and a gate electrode provided over the oxide semiconductor region with the gate insulating film positioned therebetween. Here, the pair of oxynitride semiconductor regions serves as a source region and a drain region of the transistor, and the oxide semiconductor region serves as the channel region of the transistor. | 11-20-2014 |
20140342499 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - The contact resistance between an oxide semiconductor film and a metal film is reduced. A transistor that uses an oxide semiconductor film and has excellent on-state characteristics is provided. A semiconductor device capable of high-speed operation is provided. In a transistor that uses an oxide semiconductor film, the oxide semiconductor film is subjected to nitrogen plasma treatment. Thus, part of oxygen included in the oxide semiconductor film is replaced with nitrogen, so that an oxynitride region is formed. A metal film is formed in contact with the oxynitride region. The oxynitride region has lower resistance than the other region of the oxide semiconductor film. In addition, the oxynitride region is unlikely to form high-resistance metal oxide at the interface with the contacting metal film. | 11-20-2014 |
20140349443 | STACKED OXIDE MATERIAL, SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING THE SEMICONDUCTOR DEVICE - One embodiment is a method for manufacturing a stacked oxide material, including the steps of forming an oxide component over a base component; forming a first oxide crystal component which grows from a surface toward an inside of the oxide component by heat treatment, and leaving an amorphous component just above a surface of the base component; and stacking a second oxide crystal component over the first oxide crystal component. In particular, the first oxide crystal component and the second oxide crystal component have common c-axes. Same-axis (axial) growth in the case of homo-crystal growth or hetero-crystal growth is caused. | 11-27-2014 |
20140349444 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE - A minute transistor and the method of manufacturing the minute transistor. A source electrode layer and a drain electrode layer are each formed in a corresponding opening formed in an insulating layer covering a semiconductor layer. The opening of the source electrode layer and the opening of the drain electrode layer are formed separately in two distinct steps. The source electrode layer and the drain electrode layer are formed by depositing a conductive layer over the insulating layer and in the openings, and subsequently removing the part located over the insulating layer by polishing. This manufacturing method allows for the source electrode later and the drain electrode layer to be formed close to each other and close to a channel forming region of the semiconductor layer. Such a structure leads to a transistor having high electrical characteristics and a high manufacturing yield even in the case of a minute structure. | 11-27-2014 |
20140349445 | DISPLAY SUBSTRATE, DISPLAY DEVICE, AND METHOD OF MANUFACTURING THE DISPLAY SUBSTRATE - Provided are a display substrate, a display device, and a method of manufacturing the display substrate. The display substrate includes: a substrate in which a pixel region is defined; a gate electrode and a gate pad are formed on the substrate; a gate insulating layer formed on the gate electrode and the gate pad; a buffer layer pattern overlaps the gate electrode and is formed on the gate insulating layer; an insulating film pattern formed on the buffer layer pattern; an oxide semiconductor pattern formed on the insulating film pattern; a source electrode formed on the oxide semiconductor pattern; and a drain electrode formed on the oxide semiconductor pattern and is separated from the source electrode. | 11-27-2014 |
20140357017 | METHOD FOR FABRICATING THIN-FILM TRANSISTOR - A method for fabricating a thin-film transistor is disclosed. Firstly, a patterned dielectric mask structure with a bottom thereof having a gate dielectric layer is formed on a gate-stacked structure so that the gate dielectric layer covers a gate of the gate-stacked structure. Top surface of the patterned dielectric mask structure has at least two openings. A semiconductor layer is formed on the gate-stacked structure via the openings by a sputtering method. The semiconductor layer comprises a channel above the gate, a source and a drain below the openings. The channel has a thickness which sequentially decreases from edge to center. | 12-04-2014 |
20140357018 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To provide a method for manufacturing a thin film transistor in which contact resistance between an oxide semiconductor layer and source and drain electrode layers is small, the surfaces of the source and drain electrode layers are subjected to sputtering treatment with plasma and an oxide semiconductor layer containing In, Ga, and Zn is formed successively over the source and drain electrode layers without exposure of the source and drain electrode layers to air. | 12-04-2014 |
20140357019 | DRIVER CIRCUIT AND SEMICONDUCTOR DEVICE - The silicon nitride layer | 12-04-2014 |
20140363920 | Atomic Layer Deposition of Metal Oxides for Memory Applications - Embodiments of the invention generally relate to nonvolatile memory devices and methods for manufacturing such memory devices. The methods for forming improved memory devices, such as a ReRAM cells, provide optimized, atomic layer deposition (ALD) processes for forming a metal oxide film stack which contains at least one hard metal oxide film (e.g., metal is completely oxidized or substantially oxidized) and at least one soft metal oxide film (e.g., metal is less oxidized than hard metal oxide). The soft metal oxide film is less electrically resistive than the hard metal oxide film since the soft metal oxide film is less oxidized or more metallic than the hard metal oxide film. In one example, the hard metal oxide film is formed by an ALD process utilizing ozone as the oxidizing agent while the soft metal oxide film is formed by another ALD process utilizing water vapor as the oxidizing agent. | 12-11-2014 |
20140363921 | THIN FILM TRANSISTOR, THIN FILM TRANSISTOR ARRAY PANEL INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE SAME - A thin film transistor, a thin film transistor array panel including the same, and a method of manufacturing the same are provided, wherein the thin film transistor includes a channel region including an oxide semiconductor, a source region and a drain region connected to the channel region and facing each other at both sides with respect to the channel region, an insulating layer positioned on the channel region, and a gate electrode positioned on the insulating layer, wherein an edge boundary of the gate electrode and an edge boundary of the channel region are substantially aligned. | 12-11-2014 |
20140370653 | SPUTTERING TARGET AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide a deposition technique for depositing an oxide semiconductor film. Another object is to provide a method for manufacturing a highly reliable semiconductor element using the oxide semiconductor film. A novel sputtering target obtained by removing an alkali metal, an alkaline earth metal, and hydrogen that are impurities in a sputtering target used for deposition is used, whereby an oxide semiconductor film containing a small amount of those impurities can be deposited. | 12-18-2014 |
20140370654 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A step for forming an island-shaped semiconductor layer of a semiconductor device used in a display device is omitted in order to manufacture the semiconductor device with high productivity and low cost. The semiconductor device is manufactured through four photolithography processes: four steps for forming a gate electrode, for forming a source electrode and a drain electrode, for forming a contact hole, and for forming a pixel electrode. In the step for forming the contact hole, a groove portion in which a semiconductor layer is removed is formed, whereby formation of a parasitic transistor is prevented. An oxide semiconductor is used as a material of the semiconductor layer in which a channel is formed, and an oxide semiconductor having a higher insulating property than the semiconductor layer is provided over the semiconductor layer. | 12-18-2014 |
20140370655 | Gate Insulator Loss Free Etch-Stop Oxide Thin Film Transistor - A method is provided for fabricating a thin-film transistor (TFT). The method includes forming a semiconductor layer over a gate insulator that covers a gate electrode, and depositing an insulator layer over the semiconductor layer, as well as etching the insulator layer to form a patterned etch-stop without losing the gate insulator. The method also includes forming a source electrode and a drain electrode over the semiconductor layer and the patterned etch-stop. The method further includes removing a portion of the semiconductor layer beyond the source electrode and the drain electrode such that a remaining portion of the semiconductor layer covers the gate insulator in a first overlapping area of the source electrode and the gate electrode and a second overlapping area of the drain electrode and gate electrode. | 12-18-2014 |
20140370656 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device using an oxide semiconductor, with stable electric characteristics and high reliability. In a process for manufacturing a bottom-gate transistor including an oxide semiconductor film, dehydration or dehydrogenation is performed by heat treatment and oxygen doping treatment is performed. The transistor including the oxide semiconductor film subjected to the dehydration or dehydrogenation by the heat treatment and the oxygen doping treatment is a transistor having high reliability in which the amount of change in threshold voltage of the transistor by the bias-temperature stress test (BT test) can be reduced. | 12-18-2014 |
20140370657 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - In a manufacturing process of a transistor including an oxide semiconductor film, oxygen doping treatment is performed on the oxide semiconductor film, and then heat treatment is performed on the oxide semiconductor film and an aluminum oxide film provided over the oxide semiconductor film. Consequently, an oxide semiconductor film which includes a region containing more oxygen than a stoichiometric composition is formed. The transistor formed using the oxide semiconductor film can have high reliability because the amount of change in the threshold voltage of the transistor by a bias-temperature stress test (BT test) is reduced. | 12-18-2014 |
20140377904 | PRECURSOR COMPOSITION OF OXIDE SEMICONDUCTOR AND THIN FILM TRANSISTOR SUBSTRATE INCLUDING OXIDE SEMICONDUCTOR - A thin film transistor substrate according to an exemplary embodiment of the present invention includes a semiconductor layer including metal disposed on an insulating substrate, a gate electrode overlapping the semiconductor layer, and a source electrode and a drain electrode overlapping the semiconductor layer, wherein the metal in the semiconductor layer comprises indium (In), zinc (Zn), and tin (Sn), and a molar ratio | 12-25-2014 |
20140377905 | DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE DISPLAY APPARATUS - A display apparatus includes a base substrate and a buffer layer disposed on the base substrate. The display apparatus further includes an oxide semiconductor layer disposed on the buffer layer and including a source electrode, a drain electrode, and a channel portion. The display apparatus further includes a gate insulating layer disposed on the channel portion, a gate electrode disposed on the gate insulating layer, and a protective layer disposed on the gate electrode and the buffer layer and having a contact hole. The display apparatus further includes a transparent electrode overlapping a portion of the protective layer and electrically connected to one of the source electrode and the drain electrode through the contact hole. The transparent electrode includes a transparent metal layer and a transparent conductive oxide layer overlapping the transparent metal layer. | 12-25-2014 |
20140377906 | METHODS FOR MANUFACTURING THIN FILM TRANSISTORS - Disclosed is a thin film transistor including a gate electrode on a substrate. A gate dielectric layer is disposed on the gate electrode and the substrate, and source/drain electrodes are disposed on the gate dielectric layer overlying two edge parts of the gate electrode. A channel layer is disposed on the gate dielectric layer overlying a center part of the gate electrode, and the channel region contacts the source/drain electrodes. An insulating capping layer overlies the channel layer, wherein the channel layer includes an oxide semiconductor. | 12-25-2014 |
20140377907 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a high reliable semiconductor device including a thin film transistor having stable electric characteristics. In a method for manufacturing a semiconductor device including a thin film transistor in which an oxide semiconductor film is used for a semiconductor layer including a channel formation region, heat treatment (which is for dehydration or dehydrogenation) is performed so as to improve the purity of the oxide semiconductor film and reduce impurities such as moisture. Besides impurities such as moisture existing in the oxide semiconductor film, heat treatment causes reduction of impurities such as moisture existing in the gate insulating layer and those in interfaces between the oxide semiconductor film and films which are provided over and below the oxide semiconductor film and are in contact with the oxide semiconductor film. | 12-25-2014 |
20150011044 | COMPOSITION FOR TIN OXIDE SEMICONDUCTOR AND METHOD OF FORMATION OF TIN OXIDE SEMICONDUCTOR THIN FILM - Provided is a composition for forming tin oxide semiconductor including a tin precursor compound, an antimony precursor compound, and a solvent, according to an aspect of the present disclosure. Also provided is a method of forming a tin oxide semiconductor thin film. The method includes preparing a composition including a tin precursor compound and an antimony precursor compound dissolved in a solvent; disposing the composition on a substrate; and performing a heat treatment on the substrate coated with the composition. | 01-08-2015 |
20150011045 | METHOD OF FORMING OXIDE THIN FILM AND METHOD OF FABRICATING OXIDE THIN FILM TRANSISTOR USING HYDROGEN PEROXIDE - Provided are a method of forming an oxide thin film using hydrogen peroxide, and a method of fabricating an oxide thin film transistor using hydrogen peroxide. Embodiments of the present disclosure provide methods of forming an oxide film, including: mixing hydrogen peroxide with a precursor solution in which a precursor material is dissolved in a solvent; applying the precursor solution mixed with the hydrogen peroxide to a substrate; heat treating the substrate. | 01-08-2015 |
20150011046 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A manufacturing method of a semiconductor device in which the threshold voltage is adjusted is provided. The semiconductor device includes a first semiconductor, an electrode electrically connected to the first semiconductor, a gate electrode, and an electron trap layer between the gate electrode and the first semiconductor. By performing heat treatment at higher than or equal to 125° C. and lower than or equal to 450° C. and, at the same time, keeping a potential of the gate electrode higher than a potential of the electrode for 1 second or more, the threshold voltage is increased. | 01-08-2015 |
20150011047 | METHOD FOR FABRICATING IGZO LAYER AND TFT - Methods for fabricating an IGZO layer and fabricating TFT are provided in the present invention. The method for fabricating TFT includes the following steps: (1) depositing an IGZO layer and forming a surface oxidizing gas protective layer on the IGZO layer; (2) coating the IGZO layer with a photoresist, and then subjecting the photoresist to an exposing and developing process to form a photoresist pattern; and (3) subjecting the IGZO layer to an etching process, and then removing the photoresist. By forming an oxidizing gas protective layer, the present methods for fabricating an IGZO layer and fabricating TFT can effectively reduce the effect of hydrogen atom on IGZO layer and avoid the change of IGZO layer from semiconductor to conductor, thereby improving the stability of the IGZO layer and thus the TFT, and reducing the negative bias of threshold voltage generated by the long-term continuous use of the device. | 01-08-2015 |
20150011048 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To provide a semiconductor device in which the threshold value is controlled. Furthermore, to provide a semiconductor device in which a deterioration in electrical characteristics which becomes more noticeable as a transistor is miniaturized can be suppressed. The semiconductor device includes a first semiconductor film, a source electrode and a drain electrode electrically connected to the first semiconductor film, a gate insulating film, and a gate electrode in contact with the gate insulating film. The gate insulating film includes a first insulating film and a trap film, and charge is trapped in a charge trap state in an interface between the first insulating film and the trap film or inside the trap film. | 01-08-2015 |
20150011049 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An object is to provide a highly reliable semiconductor device including a thin film transistor having stable electric characteristics. In addition, another object is to manufacture a highly reliable semiconductor device at low cost with high productivity. In a method for manufacturing a semiconductor device including a thin film transistor including an oxide semiconductor layer as a channel formation region, the oxide semiconductor layer is heated under a nitrogen atmosphere to lower its resistance, thereby forming a low-resistance oxide semiconductor layer. Further, resistance of a region of the low-resistance oxide semiconductor layer, which is overlapped with a gate electrode layer, is selectively increased, thereby forming a high-resistance oxide semiconductor layer. Resistance of the oxide semiconductor layer is increased by forming a silicon oxide film in contact with the oxide semiconductor layer by a sputtering method. | 01-08-2015 |
20150017761 | METHOD FOR FABRICATING THIN-FILM TRANSISTOR - A method for fabricating a thin-film transistor is described. A structure is provided, including a substrate transmitting an excimer laser light, a diffusion prevention film on the substrate, a gate electrode and a gate insulating film on the diffusion prevention film, and an oxide semiconductor layer on the gate insulating film. The structure is irradiated with an excimer laser light from the side of the substrate, so that two outer regions of the oxide semiconductor layer beside the region corresponding to the gate electrode are irradiated by the excimer laser light, with the gate electrode as a mask, to be reduced in resistance and thereby one of the two outer regions forms a source region and the other one forms a drain region. The diffusion prevention film includes a SiN:F film containing fluorine in a SiN film. | 01-15-2015 |
20150017762 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a display device with excellent display characteristics, where a pixel circuit and a driver circuit provided over one substrate are formed using transistors which have different structures corresponding to characteristics of the respective circuits. The driver circuit portion includes a driver circuit transistor in which a gate electrode layer, a source electrode layer, and a drain electrode layer are formed using a metal film, and a channel layer is formed using an oxide semiconductor. The pixel portion includes a pixel transistor in which a gate electrode layer, a source electrode layer, and a drain electrode layer are formed using an oxide conductor, and a semiconductor layer is formed using an oxide semiconductor. The pixel transistor is formed using a light-transmitting material, and thus, a display device with higher aperture ratio can be manufactured. | 01-15-2015 |
20150024544 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to manufacture a semiconductor device including an oxide semiconductor film, which has stable electric characteristics and high reliability. A crystalline oxide semiconductor film is formed, without performing a plurality of steps, as follows: by utilizing a difference in atomic weight of plural kinds of atoms included in an oxide semiconductor target, zinc with low atomic weight is preferentially deposited on an oxide insulating film to form a seed crystal including zinc; and tin, indium, or the like with high atomic weight is deposited on the seed crystal while causing crystal growth. Further, a crystalline oxide semiconductor film is formed by causing crystal growth using a seed crystal with a hexagonal crystal structure including zinc as a nucleus, whereby a single crystal oxide semiconductor film or a substantially single crystal oxide semiconductor film is formed. | 01-22-2015 |
20150031168 | DISPLAY PANEL AND MANUFACTURING METHOD THEREOF - A display panel manufacturing method includes forming a gate electrode on a substrate and a gate insulator, a semiconductor layer, and an etch stop layer covering the gate electrode. A photoresist layer covering on the etch stop layer is pattern from two opposite side of the substrate by two photolithography processes to form a photoresist pattern. The etch stop layer is dry etched to form an etch stop pattern via the photoresist pattern. The photoresist pattern is formed again by two photolithography processes. The semiconductor layer is wet etched to form a semiconductor pattern via the photoresist pattern. A source electrode and a drain electrode is formed corresponding to two opposite sides of the gate electrode to orderly cover the etch pattern, the semiconductor pattern, and the gate insulator. | 01-29-2015 |
20150031169 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to manufacture a highly reliable semiconductor device including a thin film transistor with stable electric characteristics. In a method for manufacturing a semiconductor device including a thin film transistor in which an oxide semiconductor film is used for a semiconductor layer including a channel formation region, heat treatment (for dehydration or dehydrogenation) is performed to improve the purity of the oxide semiconductor film and reduce impurities including moisture or the like. After that, slow cooling is performed under an oxygen atmosphere. Besides impurities including moisture or the like exiting in the oxide semiconductor film, heat treatment causes reduction of impurities including moisture or the like exiting in a gate insulating layer and those in interfaces between the oxide semiconductor film and films which are provided over and below the oxide semiconductor and in contact therewith. | 01-29-2015 |
20150037930 | METHOD OF MANUFACTURING A SEMICONDUCTOR HETEROEPITAXY STRUCTURE - A method of manufacturing a semiconductor structure includes the steps of depositing a layer of semiconductor oxide on a base semiconductor layer, scavenging oxygen from the layer of semiconductor oxide and recrystallizing the oxygen scavenged layer of semiconductor oxide as a semiconductor heteroepitaxy layer. | 02-05-2015 |
20150037931 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device includes forming a stack of films including a conductive film layer above a semiconductor substrate; patterning the stack of films by dry etching; and cleaning including generation of plasma in an ambient including BCl | 02-05-2015 |
20150037932 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device which includes an oxide semiconductor and has favorable electrical characteristics is provided. In the semiconductor device, an oxide semiconductor film and an insulating film are formed over a substrate. Side surfaces of the oxide semiconductor film are in contact with the insulating film. The oxide semiconductor film includes a channel formation region and regions containing a dopant between which the channel formation region is sandwiched. A gate insulating film is formed on and in contact with the oxide semiconductor film. A gate electrode with sidewall insulating films is formed over the gate insulating film. A source electrode and a drain electrode are formed in contact with the oxide semiconductor film and the insulating film. | 02-05-2015 |
20150037933 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - It is an object to provide a highly reliable semiconductor device including a thin film transistor whose electric characteristics are stable. In addition, it is another object to manufacture a highly reliable semiconductor device at low cost with high productivity. In a semiconductor device including a thin film transistor, a semiconductor layer of the thin film transistor is formed with an oxide semiconductor layer to which a metal element is added. As the metal element, at least one of metal elements of iron, nickel, cobalt, copper, gold, manganese, molybdenum, tungsten, niobium, and tantalum is used. In addition, the oxide semiconductor layer contains indium, gallium, and zinc. | 02-05-2015 |
20150037934 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - The semiconductor device includes a gate electrode over a substrate, a gate insulating layer over the gate electrode, an oxide semiconductor layer over the gate insulating layer, and a source electrode and a drain electrode over the oxide semiconductor layer. A length of part of an outer edge of the oxide semiconductor layer from an outer edge of the source electrode to an outer edge of the drain electrode is more than three times, preferably more than five times as long as a channel length of the semiconductor device. Further, oxygen is supplied from the gate insulating layer to the oxide semiconductor layer by heat treatment. In addition, an insulating layer is formed after the oxide semiconductor layer is selectively etched. | 02-05-2015 |
20150037935 | ARRAY SUBSTRATE FOR LIQUID CRYSTAL DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An array substrate for a liquid crystal display includes a substrate and first and second subpixels which are positioned on the substrate and are defined by a crossing structure of one gate line, a first data line, a second data line, a first common line, and a second common line. The first subpixel includes a first semiconductor layer, a first source electrode, a first drain electrode, and a first pixel electrode connected to the first drain electrode. The second subpixel includes a second semiconductor layer, a second source electrode, a second drain electrode, and a second pixel electrode connected to the second drain electrode. The first and second subpixels share the one gate line with each other, and the first drain electrode and the second drain electrode are exposed through one contact hole. | 02-05-2015 |
20150044816 | METHOD OF MANUFACTURING RESISTANCE CHANGE LAYER USING IRRADIATION OF ELECTRON BEAM AND RESISTIVE RANDOM ACCESS MEMORY DEVICE USING THE SAME - Methods of manufacturing a resistance change layer and a resistive random access memory device are provided. The method of manufacturing a resistance change layer includes forming a preliminary resistance change layer including an oxide semiconductor material on a substrate and irradiating the preliminary resistance change layer with an electron beam to a predetermined depth. On a path along which the electron beam is irradiated, a composition ratio of the resistance change layer changes in a direction in which a density of oxygen vacancies of the oxide semiconductor material increases. Accordingly, the composition ratio of a resistance change layer is easily controlled using electron beam irradiation. In addition, since interfacial surface roughness and internal defect structures of an oxide semiconductor are controlled by electron beam irradiation, a resistance change ratio is improved and thereby device characteristics can be improved. | 02-12-2015 |
20150044817 | THIN FILM TRANSISTOR AND METHOD OF FORMING THE SAME - A thin film transistor includes a substrate, an oxide semiconductor layer that is disposed on the substrate, a gate electrode that overlaps with the oxide semiconductor layer, a gate insulating layer that is disposed between the oxide semiconductor layer and the gate electrode, and a source electrode and a drain electrode that at least partially overlap with the oxide semiconductor layer and are spaced from each other. The gate insulating layer includes an oxide including a first material. The oxide semiconductor layer includes an oxide which includes a same material as the first material and a second material, and the source electrode and the drain electrode include an oxide that includes a same material as the second material and a third material, and a grain boundary is not formed on an interface between at least one of the gate insulating layer and the oxide semiconductor layer or between the oxide semiconductor layer, and the source electrode and the drain electrode. | 02-12-2015 |
20150044818 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - It is an object to provide a manufacturing method of a structure of a thin film transistor including an oxide semiconductor film, in which threshold voltage at which a channel is formed is positive and as close to 0 V as possible. A protective insulating layer is formed to cover a thin film transistor including an oxide semiconductor layer that is dehydrated or dehydrogenated by first heat treatment, and second heat treatment at a temperature that is lower than that of the first heat treatment, in which the increase and decrease in temperature are repeated plural times, is performed, whereby a thin film transistor including an oxide semiconductor layer, in which threshold voltage at which a channel is formed is positive and as close to 0 V as possible without depending on the channel length, can be manufactured. | 02-12-2015 |
20150050774 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SEMICONDUCTOR DEVICE - An object is to provide favorable interface characteristics of a thin film transistor including an oxide semiconductor layer without mixing of an impurity such as moisture. Another object is to provide a semiconductor device including a thin film transistor having excellent electric characteristics and high reliability, and a method by which a semiconductor device can be manufactured with high productivity. A main point is to perform oxygen radical treatment on a surface of a gate insulating layer. Accordingly, there is a peak of the oxygen concentration at an interface between the gate insulating layer and a semiconductor layer, and the oxygen concentration of the gate insulating layer has a concentration gradient. The oxygen concentration is increased toward the interface between the gate insulating layer and the semiconductor layer. | 02-19-2015 |
20150050775 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide a semiconductor device including an oxide semiconductor, which has stable electrical characteristics and high reliability. In a manufacturing process of a bottom-gate transistor including an oxide semiconductor layer, heat treatment in an atmosphere containing oxygen and heat treatment in vacuum are sequentially performed for dehydration or dehydrogenation of the oxide semiconductor layer. In addition, irradiation with light having a short wavelength is performed concurrently with the heat treatment, whereby elimination of hydrogen, OH, or the like is promoted. A transistor including an oxide semiconductor layer on which dehydration or dehydrogenation treatment is performed through such heat treatment has improved stability, so that variation in electrical characteristics of the transistor due to light irradiation or a bias-temperature stress (BT) test is suppressed. | 02-19-2015 |
20150050776 | SPUTTERING TARGET, METHOD FOR MANUFACTURING THE SAME, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A deposition technique for forming an oxynitride film is provided. A highly reliable semiconductor element is manufactured with the use of the oxynitride film. The oxynitride film is formed with the use of a sputtering target including an oxynitride containing indium, gallium, and zinc, which is obtained by sintering a mixture of at least one of indium nitride, gallium nitride, and zinc nitride as a raw material and at least one of indium oxide, gallium oxide, and zinc oxide in a nitrogen atmosphere. In this manner, the oxynitride film can contain nitrogen at a necessary concentration. The oxynitride film can be used for a gate, a source electrode, a drain electrode, or the like of a transistor. | 02-19-2015 |
20150056747 | METHOD OF FABRICATING A THIN-FILM DEVICE - A method of forming a thin-film device includes forming an oxide-semiconductor film formed on the first electrical insulator, and forming a second electrical insulator formed on the oxide-semiconductor film, the oxide-semiconductor film defining an active layer. The oxide-semiconductor film is comprised of a first interface layer located at an interface with the first electrical insulator, a second interface layer located at an interface with the second electrical insulator, and a bulk layer other than the first and second interface layers. The method further includes oxidizing the oxide-semiconductor film to render a density of oxygen holes in at least one of the first and second interlayer layers is smaller than a density of oxygen holes in the bulk layer. | 02-26-2015 |
20150056748 | Methods for Forming Resistive Switching Memory Elements by Heating Deposited Layers - Resistive switching nonvolatile memory elements are provided. A metal-containing layer and an oxide layer for a memory element can be heated using rapid thermal annealing techniques. During heating, the oxide layer may decompose and react with the metal-containing layer. Oxygen from the decomposing oxide layer may form a metal oxide with metal from the metal-containing layer. The resulting metal oxide may exhibit resistive switching for the resistive switching memory elements. | 02-26-2015 |
20150056749 | Atomic Layer Deposition of Metal Oxide Materials for Memory Applications - Embodiments of the invention generally relate to nonvolatile memory devices, such as a ReRAM cells, and methods for manufacturing such memory devices, which includes optimized, atomic layer deposition (ALD) processes for forming metal oxide film stacks. The metal oxide film stacks contain a metal oxide coupling layer disposed on a metal oxide host layer, each layer having different grain structures/sizes. The interface disposed between the metal oxide layers facilitates oxygen vacancy movement. In many examples, the interface is a misaligned grain interface containing numerous grain boundaries extending parallel to the electrode interfaces, in contrast to the grains in the bulk film extending perpendicular to the electrode interfaces. As a result, oxygen vacancies are trapped and released during switching without significant loss of vacancies. Therefore, the metal oxide film stacks have improved switching performance and reliability during memory cell applications compared to traditional hafnium oxide based stacks of previous memory cells. | 02-26-2015 |
20150056750 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A first insulating film in contact with an oxide semiconductor film and a second insulating film are stacked in this order over an electrode film of a transistor including the oxide semiconductor film, an etching mask is formed over the second insulating film, an opening portion exposing the electrode film is formed by etching a portion of the first insulating film and a portion of the second insulating film, the opening portion exposing the electrode film is exposed to argon plasma, the etching mask is removed, and a conductive film is formed in the opening portion exposing the electrode film. The first insulating film is an insulating film whose oxygen is partly released by heating. The second insulating film is less easily etched than the first insulating film and has a lower gas-permeability than the first insulating film. | 02-26-2015 |
20150064839 | METHOD OF FORMING TIN OXIDE SEMICONDUCTOR THIN FILM - A method of forming a tin oxide semiconductor thin film includes preparing a precursor solution including a tin oxide semiconductor, coating the precursor solution on a substrate; and performing a heat treatment on the substrate coated with the precursor solution. A tin compound having a different tin valence according to a semiconductor type of the tin oxide semiconductor may be used in the precursor solution. | 03-05-2015 |
20150064840 | METHOD FOR FORMING OXIDE FILM, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for forming a single crystal oxide film with high productivity is provided. Further, a method for forming a single crystal oxide film at a lower temperature is provided. In addition, a method for forming a single crystal oxide film by a simpler method is provided. An oxide film having crystal parts is formed over a formation surface, and the oxide film is single crystallized by performing heat treatment. Further, an oxide film having crystal parts in which the c-axis are aligned in a direction parallel to a normal direction of the formation surface or a normal direction of a surface of the oxide film and having no crystal grain boundary between the crystal parts is used as the oxide film formed over the formation surface. | 03-05-2015 |
20150064841 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - The number of manufacturing steps is reduced to provide a semiconductor device with high productivity and low cost. A semiconductor device with low power consumption and high reliability is provided. A photolithography process for forming an island-shaped semiconductor layer is omitted, and a semiconductor device is manufactured through at least four photolithography processes: a step for forming a gate electrode (including a wiring or the like formed from the same layer), a step for forming a source electrode and a drain electrode (including a wiring or the like formed from the same layer), a step for forming a contact hole, and a step for forming a pixel electrode. In the step for forming the contact hole, a groove portion is formed, whereby formation of a parasitic transistor is prevented. The groove portion overlaps with the wiring with an insulating layer provided therebetween. | 03-05-2015 |
20150064842 | ARRAY SUBSTRATE AND METHOD OF FABRICATING THE SAME - An array substrate includes an oxide semiconductor layer; an etch stopper including a first contact hole exposing each of both sides of the oxide semiconductor layer; source and drain electrodes spaced apart from each other with the oxide semiconductor layer therebetween; a first passivation layer including a contact hole exposing each of both ends of the oxide semiconductor layer and each of ends of the source and drain electrode that oppose the both ends of the oxide semiconductor layer, respectively; and a connection pattern at the second contact hole contacting both the oxide semiconductor layer and each of the source and drain electrodes. | 03-05-2015 |
20150072470 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A highly reliable semiconductor device which includes a thin film transistor having stable electric characteristics, and a manufacturing method thereof. In the manufacturing method of the semiconductor device which includes a thin film transistor where a semiconductor layer including a channel formation region is an oxide semiconductor layer, heat treatment which reduces impurities such as moisture to improve the purity of the oxide semiconductor layer and oxidize the oxide semiconductor layer (heat treatment for dehydration or dehydrogenation) is performed. Not only impurities such as moisture in the oxide semiconductor layer but also those existing in a gate insulating layer are reduced, and impurities such as moisture existing in interfaces between the oxide semiconductor layer and films provided over and under and in contact with the oxide semiconductor layer are reduced. | 03-12-2015 |
20150072471 | FIELD EFFECT TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME - Provided is a novel structure of a field effect transistor using a metal-semiconductor junction. The field effect transistor includes a wiring which is provided over a substrate and also functions as a gate electrode; an insulating film which is provided over the wiring, has substantially the same shape as the wiring, and also functions as a gate insulating film; a semiconductor layer which is provided over the insulating film and includes an oxide semiconductor and the like; an oxide insulating layer which is provided over the semiconductor layer and whose thickness is 5 times or more as large as the sum of the thickness of the insulating film and the thickness of the semiconductor layer or 100 nm or more; and wirings which are connected to the semiconductor layer through openings provided in the oxide insulating layer. | 03-12-2015 |
20150072472 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An embodiment of the disclosed invention is a method for manufacturing a semiconductor device, which includes the steps of: forming a first insulating film; performing oxygen doping treatment on the first insulating film to supply oxygen to the first insulating film; forming a source electrode, a drain electrode, and an oxide semiconductor film electrically connected to the source electrode and the drain electrode, over the first insulating film; performing heat treatment on the oxide semiconductor film to remove a hydrogen atom in the oxide semiconductor film; forming a second insulating film over the oxide semiconductor film; and forming a gate electrode in a region overlapping with the oxide semiconductor film, over the second insulating film. The manufacturing method allows the formation of a semiconductor device including an oxide semiconductor, which has stable electrical characteristics and high reliability. | 03-12-2015 |
20150079727 | Amorphous IGZO Devices and Methods for Forming the Same - Embodiments described herein provide improvements to indium-gallium-zinc oxide devices, such as amorphous IGZO thin film transistors, and methods for forming such devices. A relatively thin a-IGZO channel may be utilized. A plasma treatment chemical precursor passivation may be provided to the front-side a-IGZO interface. High-k dielectric materials may be used in the etch-stop layer at the back-side a-IGZO interface. A barrier layer may be formed above the gate electrode before the gate dielectric layer is deposited. The conventional etch-stop layer, typically formed before the source and drain regions are defined, may be replaced by a pre-passivation layer that is formed after the source and drain regions are defined and may include multiple sub-layers. | 03-19-2015 |
20150079728 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Provided are an oxide semiconductor layer in which the number of defects is reduced and a highly reliable semiconductor device including the oxide semiconductor. A first oxide semiconductor layer having a crystal part is formed over a substrate by a sputtering method. A second oxide semiconductor layer is formed by a thermal chemical vapor deposition method over the first oxide semiconductor layer. The second oxide semiconductor layer is formed by epitaxial growth using the first oxide semiconductor layer as a seed crystal. A channel is formed in the second oxide semiconductor layer. | 03-19-2015 |
20150079729 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided are an oxide semiconductor layer in which the number of defects is reduced and a highly reliable semiconductor device including the oxide semiconductor. A first oxide semiconductor layer containing a single metal element as a constituent element is formed over a substrate by a thermal chemical vapor deposition method. A second oxide semiconductor layer containing two or more metal elements as constituent elements is formed successively after the first oxide semiconductor layer is formed. The second oxide semiconductor layer is formed by epitaxial growth using the first oxide semiconductor layer as a seed crystal. A channel is formed in the second oxide semiconductor layer. | 03-19-2015 |
20150079730 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to establish a processing technique in manufacture of a semiconductor device in which an oxide semiconductor is used. A gate electrode is formed over a substrate, a gate insulating layer is formed over the gate electrode, an oxide semiconductor layer is formed over the gate insulating layer, the oxide semiconductor layer is processed by wet etching to form an island-shaped oxide semiconductor layer, a conductive layer is formed to cover the island-shaped oxide semiconductor layer, the conductive layer is processed by dry etching to form a source electrode, and a drain electrode and part of the island-shaped oxide semiconductor layer is removed by dry etching to form a recessed portion in the island-shaped oxide semiconductor layer. | 03-19-2015 |
20150079731 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a semiconductor device with stable electric characteristics in which an oxide semiconductor is used. An impurity such as hydrogen or moisture (e.g., a hydrogen atom or a compound containing a hydrogen atom such as H | 03-19-2015 |
20150079732 | Flat Panel Display Device with Oxide Thin Film Transistors and Method for Fabricating the Same - A flat panel display device with oxide thin film transistors and a fabricating method thereof are disclosed. The flat panel display device includes: a substrate; gate lines and data lines formed to cross each other and define a plurality of pixel regions on the substrate; the thin film transistors each including an oxide channel layer which is formed at an intersection of the gate and data lines; a pixel electrode and a common electrode formed in the pixel region with having a passivation layer therebetween; and step coverage compensation patterns formed at a step portion formed by the gate line and a gate electrode of the thin film transistor. | 03-19-2015 |
20150087110 | Low-Temperature Fabrication of Spray-Coated Metal Oxide Thin Film Transistors - The present teachings relate to a method of enabling metal oxide film growth via solution processes at low temperatures (≦350° C.) and in a time-efficient manner. The present thin films are useful as thin film semiconductors, thin film dielectrics, or thin film conductors, and can be implemented into semiconductor devices such as thin film transistors and thin film photovoltaic devices. | 03-26-2015 |
20150087111 | 3 DIMENSIONAL SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A 3D semiconductor device and a method of manufacturing the same are provided. The method includes forming a first semiconductor layer including a common source node on a semiconductor substrate, forming a transistor region on the first semiconductor layer, wherein the transistor region includes a horizontal channel region substantially parallel to a surface of the semiconductor substrate, and source and drain regions branched from the horizontal channel region to a direction substantially perpendicular to the surface of the semiconductor substrate, processing the first semiconductor layer to locate the common source node corresponding to the source region, forming a gate in a space between the source region and the drain region, forming heating electrodes on the source region and the drain region, and forming resistance variable material layers on the exposed heating electrodes. | 03-26-2015 |
20150087112 | MANUFACTURING METHOD OF THE SEMICONDUCTOR DEVICE - The semiconductor device is manufactured through the following steps: after first heat treatment is performed on an oxide semiconductor film, the oxide semiconductor film is processed to form an oxide semiconductor layer; immediately after that, side walls of the oxide semiconductor layer are covered with an insulating oxide; and in second heat treatment, the side surfaces of the oxide semiconductor layer are prevented from being exposed to a vacuum and defects (oxygen deficiency) in the oxide semiconductor layer are reduced. | 03-26-2015 |
20150093853 | SEMICONDUCTOR DEVICE - An object is, in a thin film transistor including an oxide semiconductor layer, to reduce contact resistance between the oxide semiconductor layer and source and drain electrode layers electrically connected to the oxide semiconductor layer. The source and drain electrode layers have a stacked-layer structure of two or more layers in which a layer in contact with the oxide semiconductor layer is formed using a metal whose work function is lower than the work function of the oxide semiconductor layer or an alloy containing such a metal. Layers other than the layer in contact with the oxide semiconductor layer of the source and drain electrode layers are formed using an element selected from Al, Cr, Cu, Ta, Ti, Mo, or W, an alloy containing any of these elements as a component, an alloy containing any of these elements in combination, or the like. | 04-02-2015 |
20150093854 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In a manufacturing process of a bottom-gate transistor including an oxide semiconductor film, dehydration or dehydrogenation through heat treatment and oxygen doping treatment are performed. A transistor including an oxide semiconductor film subjected to dehydration or dehydrogenation through heat treatment and oxygen doping treatment can be a highly reliable transistor having stable electric characteristics in which the amount of change in threshold voltage of the transistor between before and after the bias-temperature stress (BT) test can be reduced. | 04-02-2015 |
20150093855 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - To provide a miniaturized semiconductor device with stable electric characteristics in which a short-channel effect is suppressed. Further, to provide a manufacturing method of the semiconductor device. The semiconductor device (transistor) including a trench formed in an oxide insulating layer, an oxide semiconductor film formed along the trench, a source electrode and a drain electrode which are in contact with the oxide semiconductor film, a gate insulating layer over the oxide semiconductor film, a gate electrode over the gate insulating layer is provided. The lower corner portions of the trench are curved, and the side portions of the trench have side surfaces substantially perpendicular to the top surface of the oxide insulating layer. Further, the width between the upper ends of the trench is greater than or equal to 1 time and less than or equal to 1.5 times the width between the side surfaces of the trench. | 04-02-2015 |
20150099327 | ETCHING SOLUTION FOR AN ALUMINUM OXIDE FILM, AND METHOD FOR MANUFACTURING A THIN-FILM SEMICONDUCTOR DEVICE USING THE ETCHING SOLUTION - An etching solution includes: phosphoric acid having concentration of 30% by weight to 80% by weight; nitric acid having concentration of 10% by weight or less; and surfactant having concentration of 0.0005% by weight to 0.0050% by weight, wherein the etching solution is used for etching an aluminum oxide film having film density of 2.80 g/cm | 04-09-2015 |
20150104901 | OXIDE SEMICONDUCTOR FILM AND SEMICONDUCTOR DEVICE - It is an object to provide a highly reliable semiconductor device with good electrical characteristics and a display device including the semiconductor device as a switching element. In a transistor including an oxide semiconductor layer, a needle crystal group provided on at least one surface side of the oxide semiconductor layer grows in a c-axis direction perpendicular to the surface and includes an a-b plane parallel to the surface, and a portion except for the needle crystal group is an amorphous region or a region in which amorphousness and microcrystals are mixed. Accordingly, a highly reliable semiconductor device with good electrical characteristics can be formed. | 04-16-2015 |
20150111338 | METHOD FOR MANUFACTURING THIN-FILM TRANSISTOR - The present invention provides a method for manufacturing a thin-film transistor. The thin-film transistor has a bottom gate coplanar structure. The method includes the following steps: step ( | 04-23-2015 |
20150111339 | METHOD FOR DEPOSITING NANOPARTICLES ON A NANOSTRUCTURED METAL OXIDE SUBSTRATE - The present invention relates to a method for depositing nanoparticles (NPs) on a nanostructured metal oxide (NSMO) substrate, characterised in that it comprises the steps of:
| 04-23-2015 |
20150111340 | METHOD FOR FORMING WIRING, SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A wiring which is formed using a conductive film containing copper and whose shape is controlled is provided. A transistor including an electrode which is formed in the same layer as the wiring is provided. Further, a semiconductor device including the transistor and the wiring is provided. A resist mask is formed over a second conductive film stacked over a first conductive film; part of the second conductive film and part of the first conductive film are removed with use of the resist mask as a mask so that the first conductive film has a taper angle greater than or equal to 15° and less than or equal to 45′; and the resist mask is removed. The first conductive film contains copper. | 04-23-2015 |
20150118790 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A larger substrate can be used, and a transistor having a desirably high field-effect mobility can be manufactured through formation of an oxide semiconductor layer having a high degree of crystallinity, whereby a large-sized display device, a high-performance semiconductor device, or the like can be put into practical use. A first multi-component oxide semiconductor layer is formed over a substrate and a single-component oxide semiconductor layer is formed thereover; then, crystal growth is carried out from a surface to an inside by performing heat treatment at 500° C. to 1000° C. inclusive, preferably 550° C. to 750° C. inclusive so that a first multi-component oxide semiconductor layer including single crystal regions and a single-component oxide semiconductor layer including single crystal regions are formed; and a second multi-component oxide semiconductor layer including single crystal regions is stacked over the single-component oxide semiconductor layer including single crystal regions. | 04-30-2015 |
20150125991 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - Disclosed is a semiconductor device using an oxide semiconductor, with stable electric characteristics and high reliability. In a process for manufacturing a bottom-gate transistor including an oxide semiconductor film, dehydration or dehydrogenation is performed by heat treatment and oxygen doping treatment is performed. The transistor including a gate insulating film subjected to the oxygen doping treatment and the oxide semiconductor film subjected to the dehydration or dehydrogenation by the heat treatment is a transistor having high reliability in which the amount of change in threshold voltage of the transistor by the bias-temperature stress (BT) test can be reduced. | 05-07-2015 |
20150125992 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device in which fluctuation in electric characteristics due to miniaturization is less likely to be caused is provided. The semiconductor device includes an oxide semiconductor film including a first region, a pair of second regions in contact with side surfaces of the first region, and a pair of third regions in contact with side surfaces of the pair of second regions; a gate insulating film provided over the oxide semiconductor film; and a first electrode that is over the gate insulating film and overlaps with the first region. The first region is a CAAC oxide semiconductor region. The pair of second regions and the pair of third regions are each an amorphous oxide semiconductor region containing a dopant. The dopant concentration of the pair of third regions is higher than the dopant concentration of the pair of second regions. | 05-07-2015 |
20150140730 | OXIDE SEMICONDUCTOR FILM, SEMICONDUCTOR DEVICE, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A highly reliable semiconductor device is manufactured by giving stable electric characteristics to a transistor in which an oxide semiconductor film is used. In a transistor using an oxide semiconductor film for an active layer, a microvoid is provided in a source region and a drain region adjacent to a channel region. By providing a microvoid in the source region and the drain region formed in an oxide semiconductor film, hydrogen contained in the channel region of an oxide semiconductor film can be captured in the microvoid. | 05-21-2015 |
20150140731 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To improve productivity of a transistor that includes an oxide semiconductor and has good electrical characteristics. In a top-gate transistor including a gate insulating film and a gate electrode over an oxide semiconductor film, a metal film is formed over the oxide semiconductor film, oxygen is added to the metal film to form a metal oxide film, and the metal oxide film is used as a gate insulating film. After an oxide insulating film is formed over the oxide semiconductor film, a metal film may be formed over the oxide insulating film. Oxygen is added to the metal film to form a metal oxide film and added also to the oxide semiconductor film or the oxide insulating film. | 05-21-2015 |
20150140732 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - It is an object to drive a semiconductor device at high speed or to improve the reliability of the semiconductor device. In a method for manufacturing the semiconductor device, in which a gate electrode is formed over a substrate with an insulating property, a gate insulating film is formed over the gate electrode, and an oxide semiconductor film is formed over the gate insulating film, the gate insulating film is formed by deposition treatment using high-density plasma. Accordingly, dangling bonds in the gate insulating film are reduced and the quality of the interface between the gate insulating film and the oxide semiconductor is improved. | 05-21-2015 |
20150140733 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To provide a semiconductor device including an oxide semiconductor which is capable of having stable electric characteristics and achieving high reliability, by a dehydration or dehydrogenation treatment performed on a base insulating layer provided in contact with an oxide semiconductor layer, the water and hydrogen contents of the base insulating layer can be decreased, and by an oxygen doping treatment subsequently performed, oxygen which can be eliminated together with the water and hydrogen is supplied to the base insulating layer. By formation of the oxide semiconductor layer in contact with the base insulating layer whose water and hydrogen contents are decreased and whose oxygen content is increased, oxygen can be supplied to the oxide semiconductor layer while entry of the water and hydrogen into the oxide semiconductor layer is suppressed. | 05-21-2015 |
20150140734 | SEMICONDUCTOR DEVICE - To provide a highly reliable semiconductor device which includes a transistor including an oxide semiconductor, in a semiconductor device including a staggered transistor having a bottom-gate structure provided over a glass substrate, a gate insulating film in which a first gate insulating film and a second gate insulating film, whose compositions are different from each other, are stacked in this order is provided over a gate electrode layer. Alternatively, in a staggered transistor having a bottom-gate structure, a protective insulating film is provided between a glass substrate and a gate electrode layer. A metal element contained in the glass substrate has a concentration lower than or equal to 5×10 | 05-21-2015 |
20150295061 | SEMICONDUCTOR DEVICE - An object is to provide a semiconductor device having good electrical characteristics. A gate insulating layer having a hydrogen concentration less than 6×10 | 10-15-2015 |
20150303072 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a display device with excellent display characteristics, where a pixel circuit and a driver circuit provided over one substrate are formed using transistors which have different structures corresponding to characteristics of the respective circuits. The driver circuit portion includes a driver circuit transistor in which a gate electrode layer, a source electrode layer, and a drain electrode layer are formed using a metal film, and a channel layer is formed using an oxide semiconductor. The pixel portion includes a pixel transistor in which a gate electrode layer, a source electrode layer, and a drain electrode layer are formed using an oxide conductor, and a semiconductor layer is formed using an oxide semiconductor. The pixel transistor is formed using a light-transmitting material, and thus, a display device with higher aperture ratio can be manufactured. | 10-22-2015 |
20150303280 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A thin film transistor structure in which a source electrode and a drain electrode formed from a metal material are in direct contact with an oxide semiconductor film may lead to high contact resistance. One cause of high contact resistance is that a Schottky junction is formed at a contact plane between the source and drain electrodes and the oxide semiconductor film. An oxygen-deficient oxide semiconductor layer which includes crystal grains with a size of 1 nm to 10 nm and has a higher carrier concentration than the oxide semiconductor film serving as a channel formation region is provided between the oxide semiconductor film and the source and drain electrodes. | 10-22-2015 |
20150303286 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In a transistor including an oxide semiconductor film, a metal oxide film which has a function of preventing electrification and covers a source electrode and a drain electrode is formed in contact with the oxide semiconductor film, and then, heat treatment is performed. Through the heat treatment, impurities such as hydrogen, moisture, a hydroxyl group, or hydride are intentionally removed from the oxide semiconductor film, whereby the oxide semiconductor film is highly purified. By providing the metal oxide film, generation of a parasitic channel on the back channel side of the oxide semiconductor film in the transistor is prevented. | 10-22-2015 |
20150311074 | METHOD FOR FORMING OXIDE SEMICONDUCTOR FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An oxide semiconductor film is formed over a substrate. A sacrifice film is formed to such a thickness that the local maximum of the concentration distribution of an injected substance injected into the oxide semiconductor film in the depth direction of the oxide semiconductor film is located in a region from an interface between the substrate and the oxide semiconductor film to a surface of the oxide semiconductor film. Oxygen ions are injected as the injected substance into the oxide semiconductor film through the sacrifice film at such an acceleration voltage that the local maximum of the concentration distribution of the injected substance in the depth direction of the oxide semiconductor film is located in the region, and then the sacrifice film is removed. Further, a semiconductor device is manufactured using the oxide semiconductor film. | 10-29-2015 |
20150311230 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND MANUFACTURING METHOD THEREOF - A method of manufacturing a thin film transistor (TFT) array substrate includes forming a gate line and a gate electrode on a substrate, forming a gate-insulating layer and an oxide semiconductor layer on the gate line and the gate electrode, forming etch stop patterns at a thin-film transistor area and an area where the gate line and the data line overlap each other, forming a data conductor on the oxide semiconductor layer and the etch stop patterns, the data conductor comprising a source electrode and a drain electrode that constitute a TFT together with the gate electrode, and forming a data line extending in a direction intersecting the gate line. | 10-29-2015 |
20150311256 | Vertical Bit Line Wide Band Gap TFT Decoder - A 3D memory array having a vertically oriented thin film transistor (TFT) selection device that has a body formed from a wide energy band gap semiconductor is disclosed. The wide energy band gap semiconductor may be an oxide semiconductor, such as a metal oxide semiconductor. As examples, this could be an InGaZnO, InZnO, HfInZnO, or ZnInSnO body. The source and drains can also be formed from the wide energy band gap semiconductor, although these may be doped for better conduction. The vertically oriented TFT selection device serves as a vertical bit line selection device in the 3D memory array. A vertical TFT select device has a high drive current, a high breakdown voltage and low leakage current. | 10-29-2015 |
20150311322 | METHOD OF MANUFACTURING THIN FILM TRANSISTOR AND ORGANIC LIGHT EMITTING DIODE DISPLAY - A method of manufacturing transistor having a first and second thin film transistor by creating the second thin film transistor by forming a second gate of the second thin film transistor on a substrate, depositing an insulating layer and a semiconductor layer in order to cover the second gate. A photoresist layer is deposited above the semiconductor layer and exposed. The semiconductor layer and the insulating layer are etched, thereby forming a second active layer of the second thin film transistor and a first connection window disposed above the second gate. A second source and a second drain of the second thin film transistor is deposited above the insulating layer and the second active layer. The present method enables the manufacturing of an organic light emitting diode display. The method reduces the times of using a photomask, thereby saving the manufacture time, improving the productivity and economizing the manufacture cost. | 10-29-2015 |
20150311323 | SEMICONDUCTOR DEVICE AND DRIVING METHOD THEREOF - A semiconductor device including a nonvolatile memory cell in which a writing transistor which includes an oxide semiconductor, a reading transistor which includes a semiconductor material different from that of the writing transistor, and a capacitor are included is provided. Data is written to the memory cell by turning on the writing transistor and applying a potential to a node where a source electrode (or a drain electrode) of the writing transistor, one electrode of the capacitor, and a gate electrode of the reading transistor are electrically connected, and then turning off the writing transistor, so that the predetermined amount of charge is held in the node. Further, when a p-channel transistor is used as the reading transistor, a reading potential is a positive potential. | 10-29-2015 |
20150318306 | METHOD OF TRANSFERRING THIN FILM, METHOD OF MANUFACTURING THIN FILM TRANSISTOR, METHOD OF FORMING PIXEL ELECTRODE OF LIQUID CRYSTAL DISPLAY DEVICE - A method of transferring a thin film is a method of transferring a thin film formed on a first substrate to a second substrate, the method including: allowing the first substrate to come into contact with a liquid to swell the first substrate; allowing the second substrate and the thin film to come into contact with each other via the liquid; and drying the liquid to allow the thin film to adhere to the second substrate. | 11-05-2015 |
20150318317 | THIN FILM TRANSISTOR PANEL HAVING AN ETCH STOPPER ON SEMICONDUCTOR - A thin film transistor panel includes an insulating substrate, a gate insulating layer disposed on the insulating substrate, an oxide semiconductor layer disposed on the gate insulating layer, an etch stopper disposed on the oxide semiconductor layer, and a source electrode and a drain electrode disposed on the etch stopper. | 11-05-2015 |
20150318368 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - It is an object to provide a semiconductor device in which a short-channel effect is suppressed and miniaturization is achieved, and a manufacturing method thereof. A trench is formed in an insulating layer and impurities are added to an oxide semiconductor film in contact with an upper end corner portion of the trench, whereby a source region and a drain region are formed. With the above structure, miniaturization can be achieved. Further, with the trench, a short-channel effect can be suppressed setting the depth of the trench as appropriate even when a distance between a source electrode layer and a drain electrode layer is shortened. | 11-05-2015 |
20150318383 | AMORPHOUS OXIDE THIN FILM TRANSISTOR, METHOD FOR MANUFACTURING THE SAME, AND DISPLAY PANEL - Embodiments of the disclosed technology provide an amorphous oxide thin film transistor (TFT), a method for preparing an amorphous oxide TFT, and a display panel. The amorphous oxide thin film transistor includes: a gate electrode, a gate insulating layer, a semiconductor active layer, a source electrode and a drain electrode. The semiconductor active layer comprises a channel layer and an ohmic contact layer, and the channel layer has a greater content of oxygen than the ohmic contact layer; the channel layer contacts the gate insulating layer, and the ohmic contact layer comprises two separated ohmic contact regions, one of which contacts the source electrode and the other of which contacts the drain electrode. | 11-05-2015 |
20150325595 | METHOD FOR MANUFACTURING LIGHT-EMITTING DISPLAY DEVICE - It is an object of one embodiment of the present invention to manufacture a light-emitting display device by simplifying a manufacturing process of a transistor, without an increase in the number of steps as well as the number of photomasks as compared to those in the conventional case. A step for processing a semiconductor layer into an island shape is omitted by using a high-resistance oxide semiconductor which is intrinsic or substantially intrinsic for the semiconductor layer, used to form transistors. Formation of an opening in the semiconductor layer or an insulating layer formed over the semiconductor layer and etching of an unnecessary portion of the semiconductor layer are performed at the same time; thus, the number of photolithography steps is reduced. | 11-12-2015 |
20150332919 | MANUFACTURING METHOD OF SEMICONDUCTOR SUBSTRATE AND SEMICONDUCTOR DEVICE - Provided is a highly integrated semiconductor device, a semiconductor device with large storage capacitance with respect to an area occupied by a capacitor, a semiconductor device capable of high-speed writing or reading, a semiconductor device with low power consumption, or a highly reliable semiconductor device. Provided are steps of forming a first oxide semiconductor over a first substrate, forming a first insulator over the first oxide semiconductor, injecting an ion into a region of the first oxide semiconductor through the first insulator, bonding a surface of the first insulator provided with the first substrate to a surface of a second insulator over a second substrate, performing a heat treatment in a state where the surfaces are bonded, separating the first substrate from the second substrate along the region of the first oxide semiconductor, and forming a second oxide semiconductor over the second substrate. | 11-19-2015 |
20150333159 | METHOD FOR MANUFACTURING A TFT ARRAY SUBSTRATE COMPRISING A ZINC OXIDE SEMICONDUCTOR LAYER AND AN OHMIC CONTACT LAYER - A method for manufacturing thin film transistor array substrate is disclosed. The method includes sequentially depositing a semiconductor layer and an ohmic contact layer on the base substrate formed with a gate insulator and patterning the semiconductor layer and the ohmic contact layer, wherein the material of the semiconductor layer is zinc oxide, and the material of the ohmic contact layer is Ga | 11-19-2015 |
20150333160 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In a semiconductor device in which a channel formation region is included in an oxide semiconductor layer, an oxide insulating film below and in contact with the oxide semiconductor layer and a gate insulating film over and in contact with the oxide semiconductor layer are used to supply oxygen of the gate insulating film, which is introduced by an ion implantation method, to the oxide semiconductor layer. | 11-19-2015 |
20150340477 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to manufacture a highly reliable semiconductor device including a thin film transistor with stable electric characteristics. In a method for manufacturing a semiconductor device including a thin film transistor in which an oxide semiconductor film is used for a semiconductor layer including a channel formation region, heat treatment (for dehydration or dehydrogenation) is performed to improve the purity of the oxide semiconductor film and reduce impurities including moisture or the like. After that, slow cooling is performed under an oxygen atmosphere. Besides impurities including moisture or the like exiting in the oxide semiconductor film, heat treatment causes reduction of impurities including moisture or the like exiting in a gate insulating layer and those in interfaces between the oxide semiconductor film and films which are provided over and below the oxide semiconductor and in contact therewith. | 11-26-2015 |
20150340505 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes the steps of forming a first insulating film over a first gate electrode over a substrate while heated at a temperature higher than or equal to 450° C. and lower than the strain point of the substrate, forming a first oxide semiconductor film over the first insulating film, adding oxygen to the first oxide semiconductor film and then forming a second oxide semiconductor film over the first oxide semiconductor film, and performing heat treatment so that part of oxygen contained in the first oxide semiconductor film is transferred to the second oxide semiconductor film. | 11-26-2015 |
20150340513 | Semiconductor Device and Manufacturing Method Thereof - An object is to provide a semiconductor device of which a manufacturing process is not complicated and by which cost can be suppressed, by forming a thin film transistor using an oxide semiconductor film typified by zinc oxide, and a manufacturing method thereof. For the semiconductor device, a gate electrode is formed over a substrate; a gate insulating film is formed covering the gate electrode; an oxide semiconductor film is formed over the gate insulating film; and a first conductive film and a second conductive film are formed over the oxide semiconductor film. The oxide semiconductor film has at least a crystallized region in a channel region. | 11-26-2015 |
20150340607 | PHASE TRANSITION DEVICES AND SMART CAPACITIVE DEVICES - Phase transition devices may include a functional layer made of functional material that can undergo a change in conductance in response to an external stimulus such as an electric or magnetic or optical field, or heat. The functional material transitions between a conducting state and a non-conducting state, upon application of the external stimulus. A capacitive device may include a functional layer between a top electrode and a bottom electrode, and a dielectric layer between the functional layer and the top electrode. A three terminal phase transition switch may include a functional layer, for example a conductive oxide channel, deposited between a source and a drain, and a gate dielectric layer and a gate electrode deposited on the functional layer. An array of phase transition switches and/or capacitive devices may be formed on a substrate, which may be made of inexpensive flexible material. | 11-26-2015 |
20150349098 | A MANUFACTURING METHOD OF A THIN FILM TRANSISTOR AND PIXEL UNIT THEREOF - The present invention provides a method of manufacturing a thin film transistor and a pixel unit thereof, comprising: forming a metal oxide layer, a gate insulating layer, a gate metal layer and an etching barrier layer on a substrate; through the same mask, etching a part of the etching barrier layer, the gate metal layer and the gate insulating layer on the substrate, while retaining: the metal oxide layer, the gate insulating layer, the gate metal layer and the etching barrier layer in a gate region, and the part of the metal oxide layer, the gate insulating layer and the gate metal layer in source and drain regions for forming contact vias; and exposing the remaining metal oxide layer in the source region and in the drain region; depositing a passivation layer, etching and metallizing the exposed oxide in the source and drain regions to form the source and drain contact vias. | 12-03-2015 |
20150349099 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - It is an object to provide a semiconductor device including a thin film transistor with favorable electric properties and high reliability, and a method for manufacturing the semiconductor device with high productivity. In an inverted staggered (bottom gate) thin film transistor, an oxide semiconductor film containing In, Ga, and Zn is used as a semiconductor layer, and a buffer layer formed using a metal oxide layer is provided between the semiconductor layer and a source and drain electrode layers. The metal oxide layer is intentionally provided as the buffer layer between the semiconductor layer and the source and drain electrode layers, whereby ohmic contact is obtained. | 12-03-2015 |
20150357444 | Semiconductor Device - An object is to provide a semiconductor device using an oxide semiconductor having stable electric characteristics and high reliability. A transistor including the oxide semiconductor film in which a top surface portion of the oxide semiconductor film is provided with a metal oxide film containing a constituent similar to that of the oxide semiconductor film and functioning as a channel protective film is provided. In addition, the oxide semiconductor film used for an active layer of the transistor is an oxide semiconductor film highly purified to be electrically i-type (intrinsic) by heat treatment in which impurities such as hydrogen, moisture, a hydroxyl group, or a hydride are removed from the oxide semiconductor and oxygen which is a major constituent of the oxide semiconductor and is reduced concurrently with a step of removing impurities is supplied. | 12-10-2015 |
20150357473 | SEMICONDUCTOR MEMORY DEVICE - To provide a highly integrated semiconductor memory device. To provide a semiconductor memory device which can hold stored data even when power is not supplied. To provide a semiconductor memory device which has a large number of write cycles. The degree of integration of a memory cell array is increased by forming a memory cell including two transistors and one capacitor which are arranged three-dimensionally. The electric charge accumulated in the capacitor is prevented from being leaking by forming a transistor for controlling the amount of electric charge of the capacitor in the memory cell using a wide-gap semiconductor having a wider band gap than silicon. Accordingly, a semiconductor memory device which can hold stored data even when power is not supplied can be provided. | 12-10-2015 |
20150362811 | METHOD FOR FABRICATING DISPLAY PANEL - A method for fabricating a display panel includes forming a first patterned conductive layer, a gate insulation layer, a semiconductor channel layer, a first passivation layer, a second patterned conductive layer and a pixel electrode on a first substrate. The first patterned conductive layer includes a gate electrode, and the second patterned conductive layer includes a source electrode, a drain electrode and a data line. The patterns of the gate insulation layer, the first passivation layer and the second patterned conductive layer are defined by an etching process and a lift-off process with the same photomask. | 12-17-2015 |
20150372122 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To provide a semiconductor device which occupies a small area and is highly integrated. A first conductive layer is formed; a first insulating layer is formed over the first conductive layer; a second conductive layer is formed over the first insulating layer using the same material as the first conductive layer; a third conductive layer is formed over the second conductive layer; a second insulating layer is formed over the third conductive layer; a resist mask is formed over the second insulating layer; etching is successively performed from the upper layer and an opening is formed in the first conductive layer and the diameter of the opening in the second conductive layer is increased in the same step; and a contact hole where an upper surface of the first conductive layer is exposed is formed by etching the first insulating layer. | 12-24-2015 |
20150372123 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device including a minute transistor with a short channel length is provided. A gate insulating layer is formed over a gate electrode layer; an oxide semiconductor layer is formed over the gate insulating layer; a first conductive layer and a second conductive layer are formed over the oxide semiconductor layer; a conductive film is formed over the first conductive layer and the second conductive layer; a resist mask is formed over the conductive film by performing electron beam exposure; and then a third conductive layer and a fourth conductive layer are formed over and in contact with the first conductive layer and the second conductive layer, respectively, by selectively etching the conductive film. | 12-24-2015 |
20150380445 | ARRAY SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME - Disclosed herein is a method for manufacturing an array substrate. The method includes forming a source electrode and a drain electrode on a substrate. A semiconductor layer, an organic insulating layer, and a gate electrode layer are sequentially formed to cover the substrate, the source electrode, and the drain electrode. A patterned photoresist layer is formed on the gate electrode layer. The exposed portion of the gate electrode layer, and a portion of the organic insulative layer and a portion of the semiconductor layer thereunder are removed to form a gate electrode. An organic passivation layer is formed on the gate electrode, the source electrode, and the drain electrode. The organic passivation layer has a contact window to expose a portion of the drain electrode. A pixel electrode is formed on the organic passivation layer and the exposed portion of the drain electrode. | 12-31-2015 |
20150380529 | Method For Manufacturing Semiconductor Device - To improve productivity of a transistor that includes an oxide semiconductor and has good electrical characteristics. In a top-gate transistor including a gate insulating film and a gate electrode over an oxide semiconductor film, a metal film is formed over the oxide semiconductor film, oxygen is added to the metal film to form a metal oxide film, and the metal oxide film is used as a gate insulating film. After an oxide insulating film is formed over the oxide semiconductor film, a metal film may be formed over the oxide insulating film. Oxygen is added to the metal film to form a metal oxide film and added also to the oxide semiconductor film or the oxide insulating film. | 12-31-2015 |
20150380530 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor device includes a gate, a first electrode, a first insulating layer, an active layer, an etching stop layer, a second insulating layer, a source, a drain and a second electrode. The first insulating layer covers the gate and the first electrode. The active layer and the etching stop layer are disposed on the first insulating layer above the gate and the first electrode respectively. The second insulating layer covers the active layer and the etching stop layer and has a first opening and a second opening exposing the active layer and a third opening exposing the etching stop layer. The source and the drain are disposed on the second insulating layer and contact with the active layer through the first opening and the second opening respectively. The second electrode is located on the second insulating layer and contacts with the etching stop layer through the third opening. | 12-31-2015 |
20160002823 | METHOD FOR CONTROLLING DONOR CONCENTRATION IN Ga2O3 SINGLE CRYSTAL BODY, AND METHOD FOR FORMING OHMIC CONTACT - Provided is a method for controlling a donor concentration in a Ga | 01-07-2016 |
20160005771 | Millimetre wave integrated circuits with thin film transistors - MMIC circuits with thin film transistors are provided without the need of grinding and etching of the substrate after the fabrication of active and passive components. Furthermore, technology for active devices based on non-toxic compound semiconductors is provided. The success in the MMIC methods and structures without substrate grinding/etching and the use of semiconductors without toxic elements for active components will reduce manufacturing time, decrease economic cost and environmental burden. MMIC structures are provided where the requirements for die or chip attachment, alignment and wire bonding are eliminated completely or minimized. This will increase the reproducibility and reduce the manufacturing time for the MMIC circuits and modules. | 01-07-2016 |
20160013298 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 01-14-2016 |
20160020330 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide a semiconductor device having stable electric characteristics in which an oxide semiconductor is used. An oxide semiconductor layer is subjected to heat treatment for dehydration or dehydrogenation treatment in a nitrogen gas or an inert gas atmosphere such as a rare gas (e.g., argon or helium) or under reduced pressure and to a cooling step for treatment for supplying oxygen in an atmosphere of oxygen, an atmosphere of oxygen and nitrogen, or the air (having a dew point of preferably lower than or equal to −40° C., still preferably lower than or equal to −50° C.) atmosphere. The oxide semiconductor layer is thus highly purified, whereby an i-type oxide semiconductor layer is formed. A semiconductor device including a thin film transistor having the oxide semiconductor layer is manufactured. | 01-21-2016 |
20160027805 | THIN FILM TRANSISTOR ARRAY PANEL AND MANUFACTURING METHOD THEREOF - A thin film transistor array panel and a manufacturing method thereof according to an exemplary embodiment of the present invention form a contact hole in a second passivation layer formed of an organic insulator, protect a side of the contact hole by covering with a protection member formed of the same layer as the first field generating electrode and formed of a transparent conductive material, and etch the first passivation layer below the second passivation layer using the protection member as a mask. Therefore, it is possible to prevent the second passivation layer formed of an organic insulator from being overetched while etching the insulating layer below the second passivation layer so that the contact hole is prevented from being made excessively wide. | 01-28-2016 |
20160027904 | METHOD FOR MANUFACTURING COPLANAR OXIDE SEMICONDUCTOR TFT SUBSTRATE - The present invention provides a method for manufacturing a coplanar oxide semiconductor TFT substrate, which includes: step | 01-28-2016 |
20160035865 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A first conductor is formed over a substrate. A first insulator is formed over the first conductor. A second insulator including aluminum oxide is formed over the first insulator. A third insulator is formed in contact with a top surface of the second insulator. A first opening portion reaching the first conductor is provided in the first to third insulators. A second conductor is formed over the third insulator and in the first opening portion. A third conductor is formed in the first opening portion by removing part of the second conductor over the third insulator so that a surface of the third conductor is parallel to a bottom surface of the substrate. A first transistor including an oxide semiconductor is formed over the third insulator. | 02-04-2016 |
20160035866 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An object is to provide a thin film transistor using an oxide semiconductor layer, in which contact resistance between the oxide semiconductor layer and source and drain electrode layers is reduced and electric characteristics are stabilized. Another object is to provide a method for manufacturing the thin film transistor. A thin film transistor using an oxide semiconductor layer is formed in such a manner that buffer layers having higher conductivity than the oxide semiconductor layer are formed over the oxide semiconductor layer, source and drain electrode layers are formed over the buffer layers, and the oxide semiconductor layer is electrically connected to the source and drain electrode layers with the buffer layers interposed therebetween. In addition, the buffer layers are subjected to reverse sputtering treatment and heat treatment in a nitrogen atmosphere, whereby the buffer layers having higher conductivity than the oxide semiconductor layer are obtained. | 02-04-2016 |
20160043006 | METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE - The present invention makes it possible to increase the selectivity of a gate insulation film in an active element formed in a wiring layer. A semiconductor device according to the present invention has a bottom gate type transistor using an antireflection film formed over an Al wire in a wiring layer as a gate wire. | 02-11-2016 |
20160043138 | SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor device includes a memory block including a transistor region and a memory region. A variable resistance layer of the memory region acts as a gate insulating layer in the transistor region. | 02-11-2016 |
20160043201 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a thin film transistor using an oxide semiconductor layer, in which contact resistance between the oxide semiconductor layer and source and drain electrode layers is reduced and electric characteristics are stabilized. The thin film transistor is formed in such a manner that a buffer layer including a high-resistance region and low-resistance regions is formed over an oxide semiconductor layer, and the oxide semiconductor layer and source and drain electrode layers are in contact with each other with the low-resistance region of the buffer layer interposed therebetween. | 02-11-2016 |
20160049500 | METHOD FOR MANUFACTURING THIN FILM TRANSISTOR AND PIXEL UNIT THEREOF - The present invention is suitable to the field of electronic technology, and provides a method of manufacturing a thin film transistor and a pixel unit thereof, wherein when the thin film transistor is manufactured, the gate metal layer is used as a mask, and exposed from the back of the substrate to position the channel and the source and drain of the thin film transistor, so that the channel is self-aligned with the gate, and the source and drain are self-aligned with the gate and are symmetrical, and the thin film transistor thus manufactured has a small parasitic capacitance, and the circuit manufactured therewith is fast in operation, and less prone to occurring short circuit or open circuit. In the present invention, the characteristics that the channel is self-aligned with the gate, and the source and drain are self-aligned with the gate and are symmetrical avoid the alignment precision requirement on the mask plate in the production, thus reducing the need for the high precision lithographic apparatus, and reducing the costs and increasing the yield. In addition, the present process is suitable for manufacturing a pixel unit of a thin film transistor, the manufacturing process only requires four mask sets which do not require the critical alignment. As compared with other four mask processes which use the gray tone masks, the present process can increase the yield and reduce the costs. | 02-18-2016 |
20160049521 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A highly reliable semiconductor device including an oxide semiconductor is provided. Oxygen is supplied from a base insulating layer provided below an oxide semiconductor layer to a channel formation region, whereby oxygen vacancies which might be generated in the channel formation region are filled. Further, a protective insulating layer containing a small amount of hydrogen and functioning as a barrier layer having a low permeability to oxygen is formed over the gate electrode layer so as to cover side surfaces of an oxide layer and a gate insulating layer that are provided over the oxide semiconductor layer, whereby release of oxygen from the gate insulating layer and/or the oxide layer is prevented and generation of oxygen vacancies in a channel formation region is prevented. | 02-18-2016 |
20160053382 | ETCHANT COMPOSITION - An etchant composition is disclosed which includes hydrogen peroxide, an etch inhibitor, a chelating agent, an etch additive, an oxide semiconductor protective agent, and a pH regulator. The oxide semiconductor protective agent is included in the etchant composition by about 0.1˜3.0 wt % based on the total weight of the etchant composition. Such an etchant composition according to the present disclosure does not include any fluoride base compound and has a high pH value of about 3.5˜6. As such, the etchant composition allows an oxide semiconductor to not be etched in an etch process of copper and a molybdenum alloy. Therefore, the etchant composition can minimize faults that can be easily generated during the etching process. | 02-25-2016 |
20160056272 | Semiconductor Device - To provide a semiconductor device having a structure capable of suppressing deterioration of its electrical characteristics which becomes apparent with miniaturization. The semiconductor device includes a first oxide semiconductor film over an insulating surface; a second oxide semiconductor film over the first oxide semiconductor film; a source electrode and a drain electrode in contact with the second oxide semiconductor film; a third oxide semiconductor film over the second oxide semiconductor film, the source electrode, and the drain electrode; a gate insulating film over the third oxide semiconductor film; and a gate electrode over the gate insulating film. A first interface between the gate electrode and the gate insulating film has a region closer to the insulating surface than a second interface between the first oxide semiconductor film and the second oxide semiconductor film. | 02-25-2016 |
20160064217 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME - This semiconductor device ( | 03-03-2016 |
20160064422 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device having high electric characteristics and in which a capacitor is efficiently formed even if the semiconductor device has a miniaturized structure. In a top-gate (also referred to as staggered) transistor using an oxide semiconductor film as its active layer, a source electrode and a drain electrode has a two-layer structure (a first electrode film and a second electrode film). Then, a capacitor is formed using a film formed using a material and a step similar to those of the first electrode film, a gate insulating film, and a gate electrode. Accordingly, the transistor and the capacitor can be formed through the same process efficiently. Further, the second electrode is connected onto the oxide semiconductor film between a first electrode and a channel formation region of the transistor. Accordingly, resistance between source and drain electrodes can be reduced; therefore, electric characteristics of the semiconductor device can be improved. | 03-03-2016 |
20160064505 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - To manufacture a transistor whose threshold voltage is controlled without using a backgate electrode, a circuit for controlling the threshold voltage, and an impurity introduction method. To manufacture a semiconductor device having favorable electrical characteristics, high reliability, and low power consumption using the transistor. A gate electrode including a tungsten oxide film whose composition is controlled is used. The composition or the like is adjusted by a film formation method of the tungsten oxide film, whereby the work function can be controlled. By using the tungsten oxide film whose work function is controlled as part of the gate electrode, the threshold of the transistor can be controlled. Using the transistor whose threshold voltage is controlled, a semiconductor device having favorable electrical characteristics, high reliability, and low power consumption can be manufactured. | 03-03-2016 |
20160064525 | Semiconductor Device And Method For Fabricating The Same - To provide a highly reliable semiconductor device exhibiting stable electrical characteristics. To fabricate a highly reliable semiconductor device. Included are an oxide semiconductor stack in which a first to a third oxide semiconductor layers are stacked, a source and a drain electrode layers contacting the oxide semiconductor stack, a gate electrode layer overlapping with the oxide semiconductor layer with a gate insulating layer provided therebetween, and a first and a second oxide insulating layers between which the oxide semiconductor stack is sandwiched. The first to the third oxide semiconductor layers each contain indium, gallium, and zinc. The proportion of indium in the second oxide semiconductor layer is higher than that in each of the first and the third oxide semiconductor layers. The first and the third oxide semiconductor layers are each an amorphous semiconductor film. The second oxide semiconductor layer is a crystalline semiconductor film. | 03-03-2016 |
20160071961 | METHOD FOR FABRICATING THIN FILM TRANSISTOR AND APPARATUS THEREOF - A method for fabricating a thin film transistor (TFT) is provided, and the method includes following steps. A gate and an insulation layer are sequentially formed on a substrate. A source electrode and a drain electrode are formed on the insulation layer. A solution type metal oxide precursor is coated on the insulation layer above the gate. A gas is provided, and the gas does not react with the solution type metal oxide precursor. An illumination process is performed on the solution type metal oxide precursor, so as to form a metal oxide semiconductor material through a photo cross-linking reaction of the solution type metal oxide precursor. | 03-10-2016 |
20160079089 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - In a semiconductor device including an oxide semiconductor, a change in electrical characteristics is inhibited and reliability is improved. The semiconductor device is manufactured by a method including first to fourth steps. The first step includes a step of forming an oxide semiconductor film, the second step includes a step of forming an oxide insulating film over the oxide semiconductor film, the third step includes a step of forming a protective film over the oxide insulating film, and the fourth step includes a step of adding oxygen to the oxide insulating film through the protective film. In the first step, the oxide semiconductor film is formed under a condition in which an oxygen vacancy is formed. The oxygen from the oxide insulating film fills the oxygen vacancy after the fourth step. | 03-17-2016 |
20160079399 | Semiconductor Device And Manufacturing Method Thereof - Disclosed is a semiconductor device including an oxide semiconductor film. A first oxide semiconductor film with a thickness of greater than or equal to 2 nm and less than or equal to 15 nm is formed over a gate insulating layer. First heat treatment is performed so that crystal growth from a surface of the first oxide semiconductor film to the inside thereof is caused, whereby a first crystal layer is formed. A second oxide semiconductor film with a thickness greater than that of the first oxide semiconductor film is formed over the first crystal layer. Second heat treatment is performed so that crystal growth from the first crystal layer to a surface of the second oxide semiconductor film is caused, whereby a second crystal layer is formed. Further, oxygen doping treatment is performed on the second crystal layer. | 03-17-2016 |
20160079430 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Provided is a transistor containing a semiconductor with low density of defect states, a transistor having a small subthreshold swing value, a transistor having a small short-channel effect, a transistor having normally-off electrical characteristics, a transistor having a low leakage current in an off state, a transistor having excellent electrical characteristics, a transistor having high reliability, or a transistor having excellent frequency characteristics. An insulator is formed, a layer is formed over the insulator, oxygen is added to the insulator through the layer, the layer is removed, an oxide semiconductor is formed over the insulator to which the oxygen is added, and a semiconductor element is formed using the oxide semiconductor. | 03-17-2016 |
20160079530 | NONVOLATILE MEMORY ELEMENT AND METHOD OF MANUFACTURING THE SAME - The present invention provides a nonvolatile memory element, in a nonvolatile memory element having a variable resistance layer possessing a stacked structure, in which the variable resistance layer has a high resistance change ratio, and a method of manufacturing the same. The nonvolatile memory element according to one embodiment of the present invention includes a first electrode, a second electrode, and a variable resistance layer which is interposed between the first electrode and second electrode and in which the resistance value changes into at least two different resistance states. The variable resistance layer possesses a stacked structure having a first metal oxide layer containing Hf and O, and a second metal oxide layer that is provided between the first metal oxide layer and at least one of the first electrode and the second electrode and contains Al and O. | 03-17-2016 |
20160086802 | LASER ANNEALING TECHNIQUE FOR METAL OXIDE TFT - This disclosure provides methods and apparatuses for annealing an oxide semiconductor in a thin film transistor (TFT). In one aspect, the method includes providing a substrate with a partially fabricated TFT structure formed on the substrate. The partially fabricated TFT structure can include an oxide semiconductor layer and a dielectric oxide layer on the oxide semiconductor layer. The oxide semiconductor layer is annealed by heating the dielectric oxide layer with an infrared laser under ambient conditions to a temperature below the melting temperature of the oxide semiconductor layer. The infrared laser radiation can be substantially absorbed by the dielectric oxide layer and can remove unwanted defects from the oxide semiconductor layer at an interface in contact with the dielectric oxide layer. | 03-24-2016 |
20160087085 | Method for Manufacturing Semiconductor Device - To provide a semiconductor device with improved reliability. To provide a semiconductor device with stable characteristics. To provide a transistor having a low off-state current. To provide a transistor having a high on-state current. To provide a novel semiconductor device, a novel electronic device, or the like. A method for manufacturing the semiconductor device includes the steps of forming a first semiconductor over a substrate; forming a second semiconductor over and in contact with the first semiconductor; forming a first layer over the second semiconductor; performing oxygen plasma treatment and then removing the first layer to expose at least part of a surface of the second semiconductor; forming a third semiconductor over and in contact with the second semiconductor; forming a first insulator over and in contact with the third semiconductor; and forming a first conductor over the first insulator. | 03-24-2016 |
20160093721 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A transistor including an oxide semiconductor, which has good on-state characteristics, and a high-performance semiconductor device including a transistor capable of high-speed response and high-speed operation. In the transistor including an oxide semiconductor, oxygen-defect-inducing factors are introduced (added) into an oxide semiconductor layer, whereby the resistance of a source and drain regions are selectively reduced. Oxygen-defect-inducing factors are introduced into the oxide semiconductor layer, whereby oxygen defects serving as donors can be effectively formed in the oxide semiconductor layer. The introduced oxygen-defect-inducing factors are one or more selected from titanium, tungsten, and molybdenum, and are introduced by an ion implantation method. | 03-31-2016 |
20160104616 | METHOD OF FABRICATING ZINC OXIDE NANOSTRUCTURES USING LIQUID MASKING LAYER - A method of preparing zinc oxide nanostructures using a liquid masking layer is disclosed. The method includes preparing a substrate having a zinc oxide seed layer formed thereon; loading the substrate in a reactor in which a lower liquid masking layer, a precursor liquid layer for hydrothermal growth, and an upper liquid masking layer are disposed in order; and forming zinc oxide nanostructures in a pattern on the substrate through hydrothermal growth by heating the precursor liquid layer for hydrothermal growth. | 04-14-2016 |
20160107885 | Method for the fabrication of thin-film transistors together with other components on a substrate - A method for the fabrication of thin-film transistors together with micromechanical components, other active electrical components or both on an amorphous or polycrystalline substrate includes disposing the thin-film transistors and the other components on different areas of the substrate. | 04-21-2016 |
20160111280 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Electrical characteristics of transistors using an oxide semiconductor are greatly varied in a substrate, between substrates, and between lots, and the electrical characteristics are changed due to heat, bias, light, or the like in some cases. In view of the above, a semiconductor device using an oxide semiconductor with high reliability and small variation in electrical characteristics is manufactured. In a method for manufacturing a semiconductor device, hydrogen in a film and at an interface between films is removed in a transistor using an oxide semiconductor. In order to remove hydrogen at the interface between the films, the substrate is transferred under a vacuum between film formations. Further, as for a substrate having a surface exposed to the air, hydrogen on the surface of the substrate may be removed by heat treatment or plasma treatment. | 04-21-2016 |
20160111281 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - One object is to have stable electrical characteristics and high reliability and to manufacture a semiconductor device including a semi-conductive oxide film. Film formation is performed by a sputtering method using a target in which gallium oxide is added to a material that is easy to volatilize compared to gallium when the material is heated at 400° C. to 700° C. like zinc, and a formed film is heated at 400° C. to 700° C., whereby the added material is segregated in the vicinity of a surface of the film and the oxide is crystallized. Further, a semi-conductive oxide film is deposited thereover, whereby a semi-conductive oxide having a crystal which succeeds a crystal structure of the oxide that is crystallized by heat treatment is formed. | 04-21-2016 |
20160111446 | PIXEL STRUCTURE AND MANUFACTURING METHOD THEREOF - A pixel structure and a manufacturing method thereof are provided. The pixel structure includes a substrate, a scan line, a data line, a first insulating layer, an active device, a second insulating layer, a common electrode and a first pixel electrode. The data line crossed to the scan line is disposed on the substrate and includes a linear transmitting part and a cross-line transmitting part. The first insulating layer covering the scan line and the linear transmitting part is disposed between the scan line and the cross-line transmitting part. The active device, including a gate, an oxide channel, a source and a drain, is connected to the scan line and the data line. The second insulating layer is disposed on the oxide channel and the linear transmitting part. The common electrode is disposed above the linear transmitting part. The first pixel electrode is connected to the drain. | 04-21-2016 |
20160118243 | METHODS FOR PREPARING A TITANIUM OXIDE FILM AND A COMPOSITE FILM COMPRISING THE SAME - The present invention relates to a method for preparing a titanium oxide film and a method for preparing a composite film comprising a titanium oxide film. Particularly, the present invention relates to a method for preparing the titanium oxide film which serves as a passivation layer for the oxide semiconductor. In the present method for preparing the passivation layer, a low-reactive metal alkoxide compound is used as a precursor to form the passivation layer by the atomic layer deposition. Therefore, the deterioration of the oxide semiconductor during the preparation process may be avoided. | 04-28-2016 |
20160126263 | Manufacturing method of a thin film transistor and pixel unit thereof - The present invention provides a method of manufacturing a thin film transistor pixel unit, comprising: forming a metal oxide layer, a gate insulating layer, a gate metal layer and an etching barrier layer on a substrate, wherein the metal oxide layer is in a thin film transistor region; through a same mask, etching a part of the etching barrier layer, the gate metal layer and the gate insulating layer on the substrate for forming a gate region, source and drain regions for forming contact vias, a gate interface region, and a storage capacitor region, respectively. Through additional steps including etching, metallizing, and filling, a source contact via is formed in the source region, a drain contact via is formed in the drain region, and a connecting contact via is formed in the gate interface region, respectively. | 05-05-2016 |
20160126360 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A miniaturized transistor having excellent electrical characteristics is provided with high yield. Further, a semiconductor device including the transistor and having high performance and high reliability is manufactured with high productivity. In a semiconductor device including a transistor in which an oxide semiconductor film including a channel formation region and low-resistance regions between which the channel formation region is sandwiched, a gate insulating film, and a gate electrode layer whose top surface and side surface are covered with an insulating film including an aluminum oxide film are stacked, a source electrode layer and a drain electrode layer are in contact with part of the oxide semiconductor film and the top surface and a side surface of the insulating film including an aluminum oxide film. | 05-05-2016 |
20160133729 | METAL OXIDE THIN FILM TRANSISTOR AND A PREPARATION METHOD THEREOF - A Metal Oxide Thin Film Transistor (MOTFT) and a preparation method thereof are provided. The preparation method includes the following steps in turn: Step a: a metal conductive layer is prepared and patterned as a gate on a substrate; Step b: a first insulating thin film is deposited as a gate insulating layer on the metal conductive layer; Step c: a metal oxide thin film is deposited and patterned as an active layer on the gate insulating layer; Step d: an organic conductive thin film is deposited as a back channel etch protective layer on the active layer; Step e: a metal layer is deposited on the back channel etch protective layer and then patterned as pattern of a source electrode and a drain electrode; Step f: a second insulating thin film is deposited as a passivation layer on the source electrode and the drain electrode. | 05-12-2016 |
20160141397 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device including a transistor having a reduced number of oxygen vacancies in a channel formation region of an oxide semiconductor with stable electrical characteristics or high reliability is provided. A gate insulating film is formed over a gate electrode; an oxide semiconductor layer is formed over the gate insulating film; an oxide layer is formed over the oxide semiconductor layer by a sputtering method to form an stacked-layer oxide film including the oxide semiconductor layer and the oxide layer; the stacked-layer oxide film is processed into a predetermined shape; a conductive film containing Ti as a main component is formed over the stacked-layer oxide film; the conductive film is etched to form source and drain electrodes and a depression portion on a back channel side; and portions of the stacked-layer oxide film in contact with the source and drain electrodes are changed to an n-type by heat treatment. | 05-19-2016 |
20160148845 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device is provided which includes an N-type semiconductor layer and a P-type semiconductor layer coexisting in the same wiring layer without influences on the properties of a semiconductor layer. The semiconductor device includes a first wiring layer with a first wiring, a second wiring layer with a second wiring, and first and second transistors provided in the first and second wiring layers. The first transistor includes a first gate electrode, a first gate insulating film, a first oxide semiconductor layer, a first hard mask layer, and first insulating sidewall films covering the sides of the first oxide semiconductor layer. The second transistor includes a second gate electrode, a second gate insulating film, a second oxide semiconductor layer, and a second hard mask layer. | 05-26-2016 |
20160148958 | THIN FILM TRANSISTOR ARRAY PANEL AND MANUFACTURING METHOD THEREOF - A thin film transistor array panel includes: a gate wiring layer disposed on a substrate; an oxide semiconductor layer disposed on the gate wiring layer; and a data wiring layer disposed on the oxide semiconductor layer, in which the data wiring layer includes a main wiring layer including copper and a capping layer disposed on the main wiring layer and including a copper alloy. | 05-26-2016 |
20160155823 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 06-02-2016 |
20160155827 | METHOD FOR PRODUCING A THIN FILM TRANSISTOR | 06-02-2016 |
20160155828 | METHOD OF FABRICATING THIN-FILM TRANSISTOR SUBSTRATE | 06-02-2016 |
20160155937 | VERTICAL TYPE SEMICONDUCTOR DEVICE, FABRICATION METHOD THEREOF AND OPERATION METHOD THEREOF | 06-02-2016 |
20160163544 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A semiconductor device using an oxide semiconductor is provided with stable electric characteristics to improve the reliability. In a manufacturing process of a transistor including an oxide semiconductor film, an oxide semiconductor film containing a crystal having a c-axis which is substantially perpendicular to a top surface thereof (also called a first crystalline oxide semiconductor film) is formed; oxygen is added to the oxide semiconductor film to amorphize at least part of the oxide semiconductor film, so that an amorphous oxide semiconductor film containing an excess of oxygen is formed; an aluminum oxide film is formed over the amorphous oxide semiconductor film; and heat treatment is performed thereon to crystallize at least part of the amorphous oxide semiconductor film, so that an oxide semiconductor film containing a crystal having a c-axis which is substantially perpendicular to a top surface thereof (also called a second crystalline oxide semiconductor film) is formed. | 06-09-2016 |
20160163838 | METHOD OF FABRICATING THIN-FILM SEMICONDUCTOR SUBSTRATE - A method of fabricating a thin-film transistor substrate including a thin-film semiconductor includes: forming a metal film mainly comprising Cu above a substrate; forming a source electrode and a drain electrode by processing the metal film in a predetermined shape; irradiating the source electrode and the drain electrode with nitrogen plasma; exposing surfaces of a top and an end portion of the source electrode and the drain electrode with silane (SiH | 06-09-2016 |
20160163839 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device formed using an oxide semiconductor layer and having small electrical characteristic variation is provided. A highly reliable semiconductor device including an oxide semiconductor layer and exhibiting stable electric characteristics is provided. Further, a method for manufacturing the semiconductor device is provided. In the semiconductor device, an oxide semiconductor layer is used for a channel formation region, a multilayer film which includes an oxide layer in which the oxide semiconductor layer is wrapped is provided, and an edge of the multilayer film has a curvature in a cross section. | 06-09-2016 |
20160163880 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A transistor excellent in electrical characteristics and a method for manufacturing the transistor are provided. The transistor includes an oxide semiconductor layer including a source region, a drain region, and a channel formation region over an insulating surface; a gate insulating film over the oxide semiconductor layer; a gate electrode overlapping with the channel formation region, over the gate insulating film; a source electrode in contact with the source region; and a drain electrode in contact with the drain region. The source region and the drain region include a portion having higher oxygen concentration than the channel formation region. | 06-09-2016 |
20160172193 | CONTROLLED MANUFACTURING METHOD OF METAL OXIDE SEMICONDUCTOR AND METAL OXIDE SEMICONDUCTOR STRUCTURE HAVING CONTROLLED GROWTH CRYSTALLOGRAPHIC PLANE | 06-16-2016 |
20160172388 | METHOD OF FABRICATING ARRAY SUBSTRATE | 06-16-2016 |
20160172503 | THIN-FILM TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME | 06-16-2016 |
20160181405 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 06-23-2016 |
20160181406 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 06-23-2016 |
20160181432 | SEMICONDUCTOR DEVICE | 06-23-2016 |
20160190139 | FIELD EFFECT TRANSISTOR AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A structure with which the zero current of a field effect transistor using a conductor-semiconductor junction can be reduced is provided. A floating electrode ( | 06-30-2016 |
20160190332 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An oxide semiconductor film is formed over a substrate, a film of a semiconductor other than an oxide semiconductor is formed over the oxide semiconductor film, and then an oxygen atom in the oxide semiconductor film and an atom in the film of a semiconductor are bonded to each other at an interface between the oxide semiconductor film and the film of a semiconductor. Accordingly, the interface can be made continuous. Further, oxygen released from the oxide semiconductor film is diffused into the film of a semiconductor, so that the film of a semiconductor can be oxidized to form an insulating film. The use of the gate insulating film thus formed leads to a reduction in interface scattering of electrons at the interface between the oxide semiconductor film and the gate insulating film; so that a transistor with excellent electric characteristics can be manufactured. | 06-30-2016 |
20160190337 | Photopatternable Materials and Related Electronic Devices and Methods - The present polymeric materials can be patterned with relatively low photo-exposure energies and are thermally stable, mechanically robust, resist water penetration, and show good adhesion to metal oxides, metals, metal alloys, as well as organic materials. In addition, these polymeric materials can be solution-processed (e.g., by spin-coating), and can exhibit good chemical (e.g., solvent and etchant) resistance in the cured form. | 06-30-2016 |
20160197107 | THIN FILM TRANSISTOR SUBSTRATE HAVING METAL OXIDE SEMICONDUCTOR AND MANUFACTURING THE SAME | 07-07-2016 |
20160197166 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 07-07-2016 |
20160204130 | Flat Panel Display Device with Oxide Thin Film Transistor and Method of Fabricating the Same | 07-14-2016 |
20160204216 | DISPLAY DEVICE, ARRAY SUBSTRATE, AND THIN FILM TRANSISTOR | 07-14-2016 |
20160204231 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 07-14-2016 |
20160204232 | MANUFACTURING METHOD OF THE SEMICONDUCTOR DEVICE | 07-14-2016 |
20160254167 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 09-01-2016 |
20160254292 | MANUFACTURING METHOD OF ARRAY SUBSTRATE, ARRAY SUBSTRATE AND DISPLAY APPARATUS | 09-01-2016 |
20160254295 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 09-01-2016 |
20160254371 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 09-01-2016 |
20160379534 | DRIVING METHOD OF LIQUID CRYSTAL DISPLAY DEVICE - It is an object to provide a specific driving method for reduction in power consumption in displaying a 3D image with field sequential driving. A driving method of a liquid crystal display device is a method in which a stereoscopic image can be perceived with a liquid crystal display device switching an image for a left eye and an image for a right eye to display the image for the left eye or the image for the right eye, and a pair of glasses having a switching means with which the image for the right eye and the image for the left eye are switched in synchronization with display of the image for the left eye or the image for the right eye in order that the left or right eye of a viewer may selectively perceive the image for the left eye or the image for the right eye; the image for the left eye and the image for the right eye are perceived by the left eye or right eye in a mixed color by switching light which is emitted from a backlight portion and which corresponds to a plurality of colors, within a predetermined period, and the light which is emitted from the backlight portion are continuously emitted in accordance with an image signal of each of a plurality of colors which forms the linage for the left eye and the image for the right eye. | 12-29-2016 |
20170236845 | SEMICONDUCTOR DEVICE AND DISPLAY DEVICE HAVING THE SAME | 08-17-2017 |
20170236922 | MANUFACTURING METHOD OF THE SEMICONDUCTOR DEVICE | 08-17-2017 |
20190148168 | METHOD FOR MANUFACTURING A FIELD-EFFECT TRANSISTOR | 05-16-2019 |
20220140117 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - According to one embodiment, a method for manufacturing a semiconductor device, includes forming a first insulating film which covers a gate electrode, forming an island-shaped oxide semiconductor in contact with the first insulating film, forming a second insulating film which covers the oxide semiconductor, forming a source electrode in contact with the oxide semiconductor, forming a drain electrode in contact with the oxide semiconductor, forming a third insulating film which covers the source electrode and the drain electrode and forming a channel region between the source electrode and the drain electrode by supplying oxygen from the third insulating film to the oxide semiconductor via the second insulating film. | 05-05-2022 |