Entries |
Document | Title | Date |
20080198351 | Lithography Scanner Throughput - A method for use in the manufacture of a microelectronic apparatus, the method comprising exposing a dummy field on a substrate by utilizing a lithographic scanner at a first speed, and exposing a production field on the substrate by utilizing the lithographic scanner at a second speed, where the first speed is substantially greater than the second speed. In a related embodiment, a method for use in the manufacture a microelectronic apparatus comprises exposing a non-critical layer of the apparatus by utilizing a lithographic scanner at a first speed, and exposing a critical layer of the apparatus by utilizing the lithographic scanner at a second speed, where the first speed is substantially greater than the second speed. | 08-21-2008 |
20080204683 | Lithographic apparatus and method - A lithographic apparatus includes an illumination system constructed and arrange to condition a beam of radiation, a patterning device constructed and arranged to pattern the beam of radiation, a projection system constructed and arranged to project the patterned beam of radiation onto a target portion of a substrate, a substrate table constructed and arranged to hold the substrate, and a shutter system constructed and arranged to selectively prevent at least part of the beam of radiation from passing through the projection system. The shutter system includes a first shutter element, and a rotatable second shutter element constructed and arranged to alternately allow and prevent passage of the radiation beam when rotated. The first shutter element and the rotatable second shutter element are not of identical structure. | 08-28-2008 |
20080204684 | PROCESS AND APPARATUS FOR ULTRAVIOLET NANO-IMPRINT LITHOGRAPHY - A process and an apparatus for performing a UV nano-imprint lithography are provided. The process uses a polymer pad which allows a uniform application of pressure to a patterned template and an easy removal of a residual resin layer. The apparatus includes a tilt and decentering corrector which allows an accurate alignment of layers during the nano-imprint lithography process. | 08-28-2008 |
20080204685 | EXPOSURE APPARATUS, EXPOSURE METHOD AND LITHOGRAPHY SYSTEM - An exposure apparatus includes a first exposure apparatus used for exposing a peripheral portion of a wafer in maskless manner, the first exposure apparatus including a light source configured to emit light, a stage on which the wafer is to be placed, and a light controller configured to control the light emitted from the light source and irradiated onto a peripheral portion of the wafer placed on the stage, the light controller controlling at least one of shape, size and coverage on the wafer of the light emitted from the light source. | 08-28-2008 |
20080204686 | Mask Structure for Manufacturing an Integrated Circuit by Photolithographic Patterning - Photolithography using polarized light is disclosed. For example, a method includes transmitting the light through a mask having a first area with a first class of patterns and a second area with a second class of patterns thereby generating a virtual image. The virtual image is exposed into a resist layer. The polarization of the light passing the first area is modified while the light passing the mask. | 08-28-2008 |
20080204687 | Exposing method, exposure apparatus, device fabricating method, and substrate for immersion exposure - An exposing method which exposes a substrate through a liquid, the pH value of the liquid is adjusted in accordance with a material of a surface layer of the substrate that contacts the liquid. | 08-28-2008 |
20080212053 | Device manufacturing method, lithographic apparatus and device manufactured thereby - A device manufacturing method is disclosed. The method includes patterning a beam of radiation, projecting the patterned beam of radiation onto a plurality of outer target portions of a substrate in a sequence in which each subsequent outer target portion is spaced-apart from a preceding outer target portion, and subsequent to projecting the patterned beam of radiation on the plurality of outer target portions, projecting the patterned beam of radiation onto an inner target portion of the substrate. | 09-04-2008 |
20080212054 | Stage system and lithographic apparatus comprising such stage system - A stage system for a lithographic apparatus includes a stage, an over-determined number of actuators to act on the stage, at least two sensors to measure a position dependent parameter of the stage and to provide a respective sensor signal. The at least two sensors are arranged to measure the respective position dependent parameters in a same degree of freedom. A controller is provided to provide a controller output signal to at least one of the actuators in response to a setpoint and the position dependent parameter as measured by at least one of the sensors. A further controller is provided with the position dependent parameters measured by the sensors. The further controller is configured to determine a difference between the position dependent parameters from the sensors and to provide a further controller output signal to at least one of the actuators in response to the determined difference. | 09-04-2008 |
20080212055 | Calibration method for a lithographic apparatus - Method to calibrate a substrate table position in a lithographic apparatus includes providing a substrate on the substrate table with a two dimensional arrangement of patterns; positioning the substrate table with a positioning system; measuring positions of the substrate table in at least two dimensions with a position measurement system; reading out the arrangement of patterns as a function of the measured positions of the substrate table with a pattern read out system to obtain pattern read out results; deriving position errors as a function of the measured positions of the substrate table compared with the pattern read out results; calibrating the positioning system using the position errors, the calibrating including determining drift influences of the positioning system, correcting the position errors as a function of the corresponding two dimensional position of the substrate table with the determined drift influences, and calibrating the positioning system with the corrected position errors. | 09-04-2008 |
20080212056 | Exposure Method, Exposure Apparatus, Method for Producing Device, and Method for Evaluating Exposure Apparatus - An exposure method includes a first step for measuring position information of a substrate while controlling a substrate stage to move the substrate stage in a state that an optical path space is filled with a liquid under a predetermined condition; a second step for obtaining a movement control accuracy of the substrate stage based on a result of the measurement; a third step for determining an exposure condition, for exposing the substrate, based on the obtained movement control accuracy; and a fourth step for exposing the substrate based on the determined exposure condition. This makes it possible to satisfactorily expose the substrate at the time of exposing the substrate based on the liquid immersion method. | 09-04-2008 |
20080212057 | Substrate comprising a mark - A substrate comprises a first mark and a second mark. The first mark comprises a first pattern with at least one mark feature formed by a first material and at least one region formed by a second material. The first and second materials have different material characteristics with respect to a substrate treatment process such that a step height in a direction substantially perpendicular to the surface of the substrate may be created by applying the substrate treatment process. The second mark can be provided with a second step height by applying the substrate treatment process. The second step height is substantially different from the first step height. | 09-04-2008 |
20080218714 | Exposure Method, Exposure Apparatus and Device Manufacturing Method - An exposure apparatus is provided with an optical system including a liquid, a sensor system for acquiring energy information of an energy beam which is incident on the liquid, and a controller which predicts variation of optical properties of the optical system including the liquid due to energy absorption of the liquid based on the energy information acquired using the sensor system and controls exposure operation with respect to an object based on the prediction results. According to the exposure apparatus, exposure operation without being influenced by the variation of the optical properties of the optical system including the liquid due to the energy absorption of the liquid becomes possible. | 09-11-2008 |
20080218715 | IMMERSION EXPOSURE METHOD OF AND IMMERSION EXPOSURE APPARATUS FOR MAKING EXPOSURE IN A STATE WHERE THE SPACE BETWEEN THE PROJECTION LENS AND SUBSTRATE TO BE PROCESSED IS FILLED WITH A LIQUID - An immersion exposure method is disclosed which, while causing a relative movement of an immersion area formed so as to intervene between a substrate to be exposed on an exposure stage and a projection lens to the substrate, exposes an irradiation area of the substrate covered with the immersion area. An exposure stage is moved in a first direction, thereby exposing a first exposure area of the substrate. The exposure stage is moved in a second direction opposite to the first direction, thereby exposing a second exposure area adjoining the first exposure area. In a state where the second exposure area is held inside the immersion boundary of the immersion area, the exposure stage is moved from the movement end position of the exposure stage in a first exposure moving process to the movement start position of the exposure stage in a second exposure moving process. | 09-11-2008 |
20080218716 | METHOD FOR SETTING AN OPTICAL IMAGING PROPERTY IN A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS, AND PROJECTION EXPOSURE APPARATUS OF THIS TYPE - In some embodiments, the disclosure provides a method for setting an optical imaging property in a microlithographic projection exposure apparatus via which a mask can be imaged onto a substrate having a light-sensitive surface, wherein the substrate can be moved stepwise in a direction transversely with respect to an optical axis relative to a projection objective. The method can include introducing an immersion medium under a predetermined pressure and/or with a predetermined flow rate into at least one first interspace, wherein the at least one first interspace—as seen along the optical axis—is arranged within an illumination system and/or the projection objective and/or between the illumination system and the mask and/or the mask and the projection objective and/or the projection objective and the substrate. The method can also include monitoring an actual pressure and/or an actual flow rate of the immersion medium for deviation from the predetermined pressure and/or the predetermined flow rate. | 09-11-2008 |
20080218717 | Lithographic apparatus and device manufacturing method - In a lithographic apparatus, a localized area of the substrate surface under a projection system is immersed in liquid. The height of a liquid supply system above the surface of the substrate can be varied using actuators. A control system uses feedforward or feedback control with input of the surface height of the substrate to maintain the liquid supply system at a predetermined height above the surface of the substrate. | 09-11-2008 |
20080218718 | Lithographic apparatus and device manufacturing method - A lithographic apparatus is provided. The lithographic apparatus includes a radiation source configured to provide radiation, an array of optical light engines, and a substrate table that supports a substrate. Each of the optical light engines includes an array of individually controllable elements arranged and constructed to receive and to pattern the radiation and projection optics configured to receive the patterned radiation and to project the patterned radiation onto the substrate. The substrate table is arranged and constructed to move relative to the array of optical light engines during exposure of the substrate to the patterned radiation. | 09-11-2008 |
20080225253 | Damper for a stage assembly - A stage assembly ( | 09-18-2008 |
20080225254 | PHOTOMASK, PHOTOMASK SUPERIMPOSITION CORRECTING METHOD, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - In a photomask in which a device pattern, an alignment mark and a superimposition inspection mark are formed on a light transmitting base, each of the alignment mark and the superimposition inspection mark includes a main mark portion, and first and second auxiliary pattern portions. The main mark portion is constituted of one of a space pattern and a line pattern, the pattern having a linear width to be resolved on a photosensitive film formed on a semiconductor wafer, and each of the first and second auxiliary pattern portions includes an auxiliary pattern constituted of one of a repeated pattern of a space pattern and a repeated pattern of a line pattern, the repeated pattern having a linear width not to be resolved on the photosensitive film. The pitch of the repeated pattern is equal to the minimum pitch of the device pattern. | 09-18-2008 |
20080231826 | UNIFORM BACKGROUND RADIATION IN MASKLESS LITHOGRAPHY - A device manufacturing method comprising applying patterns to a plurality of arrays of individually controllable elements, such that they modulate a beam of radiation, and projecting the modulated beam of radiation onto a substrate. The patterns applied to the arrays of individually controllable elements are arranged such that pre-determined amounts of background radiation are included in the modulated beam of radiation. The pre-determined amounts of background radiation being different for different locations on the arrays. | 09-25-2008 |
20080239263 | Lithographic system and device manufacturing method - A lithographic system is arranged to project a pattern from a patterning device onto a substrate. The patterning device includes a first pattern on a first region of the patterning device and a second pattern on a second region of the patterning device. A filter arrangement selectively reduces transmission through the second region of the patterning device of radiation, so as to reduce the intensity of one or more images of the second pattern caused by a portion of the radiation beam which is indirectly incident on the second region of the patterning device. | 10-02-2008 |
20080239264 | Lithographic apparatus having feedthrough control system - An optical component of the lithographic apparatus is moved. A substrate support is moved so as to be synchronous with the motion of the optical component. A momentary position of the optical component is measured. A momentary position of the substrate support is measured at a first sampling rate. The measured momentary position of the optical component is compared with a desired momentary position of the optical component to generate an optical component position error signal in accordance with a difference between the two optical component positions. The measured momentary position of the substrate support is compared with a desired momentary position of the substrate support to generate a substrate support position error signal in accordance with a difference between the two substrate support positions. The momentary position of the optical component is adjusted so as to compensate for the difference between the two substrate support positions. | 10-02-2008 |
20080239265 | Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor - An angularly resolved scatterometer uses a broadband radiation source and an acousto-optical tunable filter to select one or more narrowband components from the broadband beam emitted by the source for use in measurements. A feedback loop can be used to control the intensity of the selected narrowband components to reduce noise. | 10-02-2008 |
20080239266 | EXPOSURE METHOD, EXPOSURE APPARATUS, LIGHT CONVERGING PATTERN FORMATION MEMBER, MASK, AND DEVICE MANUFACTURING METHOD - An exposure method includes a first exposure step of irradiating a mask, which is arranged near a plate, with exposure light and exposing a predetermined pattern formed on the mask onto a plate; and a second exposure step of irradiating a light converging pattern formation member, which is arranged near the plate and includes a plurality of light converging portions, with exposure light and exposing a light converging pattern having a predetermined shape onto the plate. At least part of the predetermined pattern exposed onto the plate in the first exposure step and at least part of the light converging pattern formed on the plate in the second exposure step overlap each other. | 10-02-2008 |
20080239267 | Exposure apparatus and exposure method for exposure apparatus - Post-etching line width localities that occur due to resist film thickness localities can be corrected continuously, without the need for an expensive mask. After a resist applied to a thin film on a substrate is scanned and exposed by an exposure machine, which is selectively turned on and off by image data, the resist and the thin film are developed and etched to produce a pattern having a desired line width. Before the resist is exposed, a film thickness of the resist on the substrate is measured at each of plural locations on the substrate. The measured film thickness is reflected in a predetermined relationship between a pre-exposure film thickness of the resist and a post-etching corrective line width, for thereby determining a corrective line width amount at each of the plural locations on the substrate. | 10-02-2008 |
20080246934 | Lithographic apparatus having a drive system with coordinate transformation, and device manufacturing method - A lithographic apparatus includes a projection system to project a patterned beam of radiation onto a substrate, which is held on a substrate support and a drive system to move the substrate support along a trajectory. In the drive system, set-point data, including set-point coordinates, are generated for moving the substrate support relative to the projection system in a first and second directions. The set-point coordinates of the first and second directions are transformed into set-point coordinates of third and fourth directions. Motion data, including motion coordinates, are generated for moving the substrate support relative to the projection system in the third and fourth directions, limiting the velocity in the third and fourth directions to a maximum velocity. The motion coordinates of the third and fourth directions are transformed into motion coordinates of the first and second directions for driving the first and second drive motors. | 10-09-2008 |
20080246935 | Lithographic apparatus and method for masking a substrate - A method of removing a substrate from a substrate table of a lithographic apparatus. The substrate table is provided with a mask arranged to form a peripheral exposure exclusion region on a substrate. The method includes moving the mask from an in use position to a storage position. The storage position is adjacent to a projection system of the lithographic apparatus. The method also includes removing the substrate from the lithographic apparatus. | 10-09-2008 |
20080246936 | Lithographic apparatus and device manufacturing method - A position control system for a substrate support of a lithographic apparatus includes a position measurement system configured to determine a position of a sensor or sensor target on the substrate support, a controller configured to provide a control signal based on a desired position of a target portion of the substrate and the determined position, and one or more actuators configured to act on the substrate support. The position control system includes a stiffness compensation model of the substrate support, the stiffness compensation model including a relation between a difference in a change in position of the target portion and a change in position of the sensor or sensor target as a result of a force exerted on the substrate support. The position control system is configured to substantially correct at least during projection of a patterned radiation beam on the target portion, the position of the target portion using the stiffness compensation model. | 10-09-2008 |
20080246937 | Exposing Method, Exposure Apparatus, Device Fabricating Method, and Film Evaluating Method - An exposing method has: a process that forms an immersion region of a liquid on a substrate; a process that determines exposure conditions in accordance with an adhesive force that acts between a surface of the substrate and the liquid; and a process that, based on the exposure conditions, exposes the substrate through the liquid of the immersion region. | 10-09-2008 |
20080246938 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus which exposes a substrate via a liquid, comprises: a projection optical system configured to project a pattern of a reticle onto the substrate; a substrate stage configured to hold the substrate and move; a top plate which is arranged on the substrate stage and in which an opening is formed; and a measurement member which is arranged in the opening formed in the top plate arranged on the substrate stage, wherein a gap is formed between the top plate and the measurement member in a plane perpendicular to an optical axis of the projection optical system, and wherein the measurement member is formed of one of a regular N-sided polygon (N>4) and a circle in the plane. | 10-09-2008 |
20080246939 | EXPOSURE APPARATUS AND ORIGINAL - An exposure apparatus configured to expose a pattern of an original onto a substrate includes a wire electrode row that includes plural parallel wire electrodes, and that is opposed to the original, and a power source that applies an AC voltage to the plural wire electrodes, wherein the wire electrode row includes a first electrode group, and a second wire electrode group to which an AC voltage having a phase different from that of an AC voltage applied to the first electrode group is applied. | 10-09-2008 |
20080252868 | MASK-LESS METHOD AND STRUCTURE FOR PATTERNING PHOTOSENSITIVE MATERIAL USING OPTICAL FIBERS - A method for patterning objects, e.g., semiconductor wafer, glass plate, composite, etc. The method includes providing an object, which has an overlying layer of photosensitive material. The method includes selectively applying light through one or more fiber cores from a plurality of fiber cores. Each of the fiber cores has an input end and an output end. Each of the input ends is coupled to the optical source. The plurality of fiber cores is numbered from 1 through N, where N is an integer greater than 1. Each of the output ends is also numbered from 1 through N, which corresponds respectively to each of the plurality of fiber cores numbered from 1 through N. The method exposes the photosensitive material from light emitted selectively through the one or more fiber cores. The one or more fiber cores out(s) light respectively through one or more output ends of the fiber cores. Each of the output ends numbered from 1 through N is associated with a pixel numbered respectively from 1 through N. | 10-16-2008 |
20080252869 | EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD USING THE SAME - An exposure apparatus includes an original-form stage for holding an original form, a projection optical system for introducing light from the original form into an object to be exposed, and a detection optical system for detecting positions at plural points on the original form, in an optical-axis direction of the projection optical system, wherein a space for enclosing the original-form stage is different from a space for enclosing at least part of the detection optical system. | 10-16-2008 |
20080252870 | Lithographic projection apparatus and a device manufacturing method using such lithographic projection apparatus - A lithographic projection apparatus is disclosed that includes a predictive system configured to predict changes in projection system aberrations with time with respect to measured aberration values, a modelling system configured to determine an application-specific effect of said predicted projection system aberration changes on at least one parameter of an image for a selected pattern, a control system configured to generate a control signal specific to the selected pattern according to said predicted projection system aberration changes and their application-specific effect on the at least one parameter of the image, and an image adjusting system, responsive to the control signal, to compensate for the application-specific effect of said predicted projection system aberration changes on the image. | 10-16-2008 |
20080259298 | Lithographic apparatus and device manufacturing method - A lithographic apparatus for maskless EUV applications includes an illumination system constructed and arranged to condition a radiation beam and to supply the conditioned radiation beam to a spatial light modulator, a substrate table constructed and arranged to hold a substrate, and a projection system constructed and arranged to project the conditioned radiation beam onto a target portion of the substrate. The illumination system includes a field facet mirror constructed and arranged to define a field of the conditioned radiation beam. The field facet mirror is constructed and arranged to optically match a source of radiation and the illumination system. | 10-23-2008 |
20080259299 | Lithographic apparatus and device manufacturing method - A lithographic apparatus includes an illumination system configured to condition a radiation beam; a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; a projection system configured to project the patterned radiation beam onto a target portion of the substrate, and a shield device arranged between a source of air flows and/or pressure waves and an element sensitive for the air flows and/or pressure waves. | 10-23-2008 |
20080259300 | Lithographic apparatus and device manufacturing method - A lithographic apparatus includes a polarization changing element including at least two wedge-shaped optically active members configured to rotate the polarization direction of at least a portion of the radiation beam with a predetermined angle with respect to the first direction and an optical propagation length adaptor associated with the wedge-shaped optically active members to adjust the predetermined angle. | 10-23-2008 |
20080259301 | Exposure system - A CTP system is provided, which allows its continuous use even if some of laser diodes located at dispersed sites are in a non-light emitting state due to breakage or the like. In the case where some channels are in the non-light emitting state, channel-by-channel exposure data is generated to describe the way that exposure should be performed by use of specific light-emitting channels that are determined by the locations of non-light emitting channels, while an exposure head is moved also through (a) complementary interval(s) before and/or after a standard interval, the standard interval being the interval the exposure head is moved through in normal mode. Then, the transport unit moves the exposure head through the standard interval and through the complementary interval(s), during which period the exposure controller causes the specific light-emitting channels to emit exposure light according to the channel-by-channel exposure data, thereby forming an exposed area. | 10-23-2008 |
20080259302 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - This invention discloses an exposure apparatus for exposing a substrate to radiant energy in accordance with a recipe including a plurality of elements, the apparatus comprising: a first storage configured to store the plurality of elements; a first processor configured to change a content of a first element stored in the first storage; and a second processor configured to change a content of a second element stored in the first storage, the second element referring to the first element, in accordance with the change performed for the first element. | 10-23-2008 |
20080266536 | Lithographic Method for Maskless Pattern Transfer Onto a Photosensitive Substrate - The invention proposes a Subpixel Scroll method, which optically shifts the position of the mirror elements to the projection axis by one subpixel size each, with an additional 45° mirror between DMD and projection optics. The 45° mirror is shifted by ¼ mirror element width by means of a controllable actuator. The size of this change of position and the time are synchronized in such a way by the position indicator signals of the scan sled that the mirror element seems to stand relative to the substrate surface element. This resetting is however not bound to the DMD-switching speed of 10 kHz. Among other advantages, the invention reduces the blur at the edge transition by the higher resolution and facilitates a higher scan velocity, whereby the scan velocity depends on the dynamics of the actuator, the effective UV-power of the UV-source and the sensitivity of the photosensitive polymer. | 10-30-2008 |
20080266537 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus configured to expose a substrate to radiant energy via an original plate while scanning of the original plate and the substrate are performed including a projection optical system configured to project light from the original plate onto the substrate, an original plate configured to hold the original plate and to be moved a substrate stage configured to hold the substrate and to be moved a measurement device configured to measure a position of a surface of a substrate facing the projection optical system in a direction of an optical axis of the projection optical system a processor configured to control a movement of the original plate stage, a movement of the substrate stage, and an operation of the measurement device, and an input device configured to input information about a measurement portion in the surface to be measured by the measurement device. The processor is configured to cause the measurement device to perform measurement of a position of the surface with respect to a measurement portion determined by the information, to cause the scanning to start after the measurement, and to cause the substrate stage to move in the direction of the optical axis during the scanning based on the position of the surface obtained by the measurement. | 10-30-2008 |
20080266538 | Lithographic processing cell, lithographic apparatus, track and device manufacturing method - A rework station and a metrology device(s) are incorporated into a lithographic processing cell so that a faulty substrate can be reworked directly and reprocessed without, for example, an overhead involved in changing masks, etc. | 10-30-2008 |
20080266539 | Exposure system, device production system, exposure method, and device production method - A device production system includes a substrate transport section which transports a substrate; a plurality of exposure sections each of which is capable of exposing the substrate; and a controller which cooperatively controls the substrate transport section and the plurality of exposure sections so that operation states of the plurality of exposure sections are in desired states. Accordingly, it is possible to improve the efficiency both in using the substrate and in the device production. | 10-30-2008 |
20080278700 | SUB-RESOLUTION ASSIST DEVICES AND METHODS - Photolithographic apparatus, systems, and methods that make use of sub-resolution assist devices are disclosed. In the various embodiments, an imaging mask includes an optically transmissive substrate having a sub-resolution assist device that further includes a first optical attenuation region and a spaced-apart second optical attenuation region, and an optically transmissive phase adjustment region interposed between the first optical attenuation region and the second optical attenuation region, the phase adjustment region being configured to change a phase of incident illumination radiation by altering an optical property of the substrate. | 11-13-2008 |
20080278701 | DEFOCUS DETERMINATION METHOD USING SUB-RESOLUTION FEATURE (SRF) PRINTING - The present application is directed to apparatus and methods for determining a magnitude of defocus and a direction of defocus for a photolithography process. A sub-resolution feature on a reticle which is not printed on a wafer at the best focus offset, but is formed on a wafer at some defocus during the photolithography process is analyzed to determine the magnitude and direction of defocus. The magnitude and direction of defocus are used to adjust the photolithography process to an optimal focus based on the determined magnitude of defocus and the determined direction of defocus. | 11-13-2008 |
20080278702 | Lithographic apparatus and sensor calibration method - A method for calibrating an auxiliary sensor system is provided. The auxiliary sensor system measures a position of a grating relative to a reference, the grating forming part of an encoder measurement system. The encoder measurement system is adapted to measure a position of a substrate table of a lithographic apparatus and further comprises a sensor mounted to the substrate table. The method comprises exciting the grating to make a movement in at least one measurement direction of the auxiliary sensor system, obtaining an auxiliary sensor system output signal from the sensor system during the movement, and adjusting a parameter of the auxiliary sensor system based on the output signal obtained during the movement to thereby calibrate the auxiliary sensor system. | 11-13-2008 |
20080278703 | IMMERSION EXPOSURE APPARATUS AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - An immersion exposure apparatus includes a placement unit on which a substrate is to be placed, the substrate including a body to be processed and a resist film on the body, a projection optical system including a projection lens, a liquid supply unit including an immersion nozzle, a measurement unit for measuring positions of alignment marks Mi (i=1, 2, . . . ) on the substrate, and a control unit for controlling a position of the placement unit on which the substrate is placed so that a pattern image of the photo mask is projected onto a predetermined position on the substrate when immersion exposure to the substrate is performed based on a measurement value acquired by the measurement unit and a correction value for correcting a measurement error resulting from a change of a measurement environment caused during measurement of alignment marks Mi. | 11-13-2008 |
20080284996 | OPTICAL COMPENSATION DEVICES, SYSTEMS, AND METHODS - Photolithographic apparatus, systems, and methods that make use of optical compensation devices are disclosed. In various embodiments, an imaging mask includes an optically transmissive substrate. A first patterned region is formed on the substrate, and a second patterned region is formed on the substrate that is proximate to the first patterned region, the first patterned region and the second patterned region each having a plurality of optically transmissive and optically attenuating regions formed on the mask. An optical compensation region is positioned proximate to at least one of the first patterned region and the second patterned region that is configured to change a phase of the illumination radiation incident on the at least one of the first patterned region and the second region by altering an optical property of the substrate. | 11-20-2008 |
20080284997 | EXPOSURE APPARATUS - A scanning exposure apparatus projects a pattern of an original onto a substrate via a projection optical system and shifts the original and the substrate in synchronization with each other with respect to an optical axis of the projection optical system so as to transfer the pattern of the original to the substrate by exposure. The scanning exposure apparatus includes a unit configured to correct a relative position between the original and the substrate by a correction amount according to a shifting rate at which the original and the substrate are shifted in synchronization with each other. | 11-20-2008 |
20080284998 | LITHOGRAPHIC APPARATUS AND METHOD OF CONTROLLING - A system and method for controlling exposure in a lithographic apparatus are disclosed. The system can have adjustable optical elements capable of being decentered to adjust an illumination distribution. Embodiments include a lithographic apparatus structure configured to allow for spatial dose control, for example as a function of X and Y in response to spatial variation in polarization state and birefringence of optical components of the lithographic system. | 11-20-2008 |
20080284999 | DEVICE FOR TRANSFERRING STRUCTURES WHICH ARE PROVIDED IN A MASK ONTO A SUBSTRATE - The invention concerns a device for transferring structures which are provided in a mask onto a substrate,
| 11-20-2008 |
20080291413 | Lithographic apparatus having encoder type position sensor system - A lithographic apparatus is disclosed that includes an encoder type sensor system configured to measure a position of a substrate table of the lithographic apparatus relative to a reference structure. The encoder type sensor system includes an encoder sensor head and an encoder sensor target and the lithographic apparatus comprises a recess to accommodate the encoder sensor target. | 11-27-2008 |
20080291414 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes a stage configured to hold a substrate; a projection optical system configured to project light from an original onto the substrate; a measurement device configured to measure a position of a surface of the substrate in an optical axis direction of the projection optical system; and a controller configured to i) cause the measurement device to measure positions of the surface with respect to a plurality of points on the surface over a plurality of shot areas, ii) obtain a shape of the surface based on the positions of the surface measured with respect to the plurality of points, and iii) cause the stage to be moved based on the obtained shape between an exposure of a first shot area and an exposure of a second shot area, and the measurement device to measure a position of a surface in the second shot area. | 11-27-2008 |
20080291415 | PATTERN FORMATION METHOD AND PATTERN FORMATION APPARATUS, EXPOSURE METHOD AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - In a measurement zone that is spaced apart in a Y-axis direction from an exposure zone that is located immediately below a projection unit, a detection area of an alignment system is moved in the Y-axis direction and a plurality of marks are sequentially detected, and therefore a movement distance of wafer stages in the Y-axis direction when performing the mark detection can be decreased compared with the case where only the wafer stages are moved while fixing the alignment system and mark detection is performed as in the conventional cases. Accordingly, a width of the measurement zone in the Y-axis direction can be reduced, which allows the apparatus to be downsized. | 11-27-2008 |
20080297748 | Lithographic apparatus - A substrate table for a lithographic apparatus includes a mask constructed and arranged to prevent exposure of a peripheral exposure region of a substrate on the substrate table. The mask is attached to a moveable carrier. The moveable carrier has a range of movement which at least partially circumnavigates the substrate table. | 12-04-2008 |
20080297749 | IMMERSION LITHOGRAPHIC PROCESS USING A VARIABLE SCAN SPEED - A lithography system and a lithography method is provided for increasing reliability and efficiency of immersion lithography. By varying a scan speed between a wafer and an optical component depending on at least one process parameter during exposure of the wafer, loosening of a fluid meniscus during the relative movement of the optical component and the wafer is avoided. | 12-04-2008 |
20080297750 | Optical axis adjustment device and exposure apparatus using the same - In order to adjust the optical axis of a light beam L | 12-04-2008 |
20080297751 | Exposure method, exposure apparatus, and method for producing device - An exposure method includes measuring coordinates of alignment marks before and after exposing a first wafer to determine a fluctuation amount of a parameter of the alignment; measuring coordinates of alignment marks before exposing a second wafer to determine a parameter of the alignment; and aligning and exposing the second wafer based on a parameter obtained by correcting the parameter with the fluctuation amount determined for the first wafer. A high overlay accuracy can be obtained even when the alignment information is gradually changed, for example, due to the linear expansion and contraction of the substrate during the exposure of the substrate. | 12-04-2008 |
20080304030 | Spatial Light Modulator Device, Lithographic Apparatus, Display Device, Method of Producing a Light Beam Having a Spatial Light Pattern and Method of Manufacturing a Device - The spatial light modulator device (SLM) for providing a spatial light pattern which is alterable in response to an electric signal comprises a first modulator element (ME | 12-11-2008 |
20080304031 | EXPOSURE APPARATUS - An exposure apparatus exposes a substrate using light from a light source having a wavelength of 20 nm or smaller, and includes plural optical elements, each of which is configured to reflect the light, plural vacuum chambers, each of which houses one or more of the plural optical elements, and a gas supplier configured to supply to each vacuum chamber independently a gas used to inhibit contaminations that could occur on the optical element housed in each vacuum chamber, wherein the gas supplier supplies different types of gases to the plural vacuum chambers according to an illuminance of an illumined region on the optical element housed in each vacuum chamber. | 12-11-2008 |
20080304032 | MICROLITOGRAPHIC PROJECTION EXPOSURE APPARATUS AND IMMERSION LIQUID THEREFORE - An immersion liquid for a microlithographic projection exposure apparatus is enriched with heavy isotopes. This reduces the chemical reactivity, which leads to an extension of the lifetime of optical elements which come in contact with the immersion liquid. For example, heavy water (D | 12-11-2008 |
20080304033 | PROJECTION OBJECTIVE FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - Another approach to decrease the resolution is to introduce an immersion liquid having high refractive index into the gap that remains between a final lens element on the image side of the projection objective and the photoresist or another photosensitive layer to be exposed. Projection objectives that are designed for immersion operation and are therefore also referred to as immersion objective may reach numerical apertures of more than 1, for example 1.3 or 1.4. The term “immersion liquid” shall, in the context of this application, relate also to what is commonly referred to as “solid immersion”. In the case of solid immersion, the immersion liquid is in fact a solid medium that, however, does not get in direct contact with the photoresist but is spaced apart from it by a distance that is only a fraction of the wavelength used. This ensures that the laws of geometrical optics do not apply such that no total reflection occurs. | 12-11-2008 |
20080309900 | Method of making patterning device, patterning device for making patterned structure, and method of making patterned structure - A method and apparatus to fabricate a patterned structure using a template supported on a carrier. The method includes patterning a material to conform to the patterned structure. The patterned material is cured while remaining on the template. The carrier is removable during the curing process. The template is later removed from the patterned material to obtain the patterned structure. A patterning device is also provided, which is formed by a template and a carrier releasably attached to each other. The template and the carrier can be separated from each other when the patterning device is subjected to curing of the patterned structure. | 12-18-2008 |
20080309901 | Lithographic apparatus and pivotable structure assembly - A mirror assembly to interact with a beam of radiation of a lithographic apparatus is disclosed. The mirror assembly includes a mirror, a piezo electric actuator, and a mover structure, the mover structure connected to the mirror, an assembly of the mirror and the mover structure being pivotable about a pivot point, the piezo electric actuator having a contacting surface to establish a slip-stick contact with the mover structure. | 12-18-2008 |
20080309902 | Printing a Mask with Maximum Possible Process Window Through Adjustment of the Source Distribution - Disclosed is a method for illuminating a lithographic mask with light from different directions, in such a way that the intensities of the various incident beams provide the largest possible integrated process window. The process window is defined in terms of allowable ranges for printed shapes. For example, boundaries of the process window may be defined by shape limits corresponding to underexposed and overexposed conditions. Intensity parameters for representing the maximum possible intensities that can be permitted for overexposed tolerance positions are imposed through application of various constraints. Another set of intensity parameters for representing the minimum possible intensities that can be permitted for underexposed tolerance positions are imposed through application of various constraints. One parameter of each kind is defined for each of a number of different focal ranges. The optimum source intensities are determined from a linear program involving these and other constraints. The determined source intensities maximize the integrated range of dose and focal variations that can be tolerated without causing the printed shapes to depart from the allowed range of shapes. | 12-18-2008 |
20080309903 | EXPOSURE APPARATUS, METHOD OF MANUFACTURING DEVICE, METHOD APPLIED TO EXPOSURE APPARATUS AND COMPUTER-READABLE MEDIUM - An exposure apparatus comprises: a controller configured to control a position of a stage and operation of a detector; and a computer terminal connected to the controller; wherein the controller is configured to: (i) cause the detector to perform position detection of alignment marks under each of a plurality of position detection conditions, and calculate an index of accuracy of the position detection based on an output from the detector associated with the position detection, with respect to each of the plurality of position detection conditions; and (ii) cause the computer terminal to provide a display concerning the index calculated with respect to each of the plurality of position detection conditions, and receive a instruction for selecting a plurality of candidate conditions from among the plurality of displayed position detection conditions via the computer terminal. | 12-18-2008 |
20080309904 | OPTICAL SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An optical system of a microlithographic projection exposure apparatus contains a module, which can be fitted in the optical system and removed from it as a unit. The module contains a cavity which can be completely filled with a liquid and hermetically sealed, and a concavely curved optical surface which bounds the cavity at the top during operation of the projection exposure apparatus. This makes it possible to fill the module outside the optical system. The module can be tilted there so that no air bubble, which prevents complete filling, can form below the concavely curved optical surface. | 12-18-2008 |
20080316445 | Fluid Pressure Compensation for Immersion Lithography Lens - An immersion lithography system that compensating for any displacement of the optical caused by the immersion fluid. The system includes an optical assembly ( | 12-25-2008 |
20080316446 | Stage apparatus and exposure apparatus - A wafer stage and a measurement stage are configured so that they are movable along an upper surface of a base plate, and water is transferred therebetween by bringing the stages proximate to one another and moving them integrally in the Y directions. An alignment system measures mutually proximate edge parts of the wafer stage and the measurement stage, and a focus leveling detection system measures a step in the Z directions in a state wherein the wafer stage and the measurement stage are proximate to one another. When both stages are brought proximate to one another, the relative position between the wafer stage and the measurement stage is adjusted based on the measurement results. | 12-25-2008 |
20080316447 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus the present invention comprises: an illumination optical system configured to illuminate an illumination area on an original with light from a light source; a projection optical system configured to project a pattern of the original onto a substrate; a first stage configured to hold the original; a second stage configured to hold the substrate; and a controller configured to control driving of at least one of the first stage, the second stage, and an optical element which forms the projection optical system so as to reduce variations in imaging characteristics of the projection optical system, based on a dependence of a transmittance of the pattern on a position in the illumination area. | 12-25-2008 |
20080316448 | MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A measurement apparatus comprises a polarization controller ( | 12-25-2008 |
20080316449 | Exposure Device, Exposure Method and Method of Manufacturing Semiconductor Device - The present invention provides a highly controllable device for exposure from the back side and an exposure method, and also provides a method of manufacturing a semiconductor device using the same. The present invention involves exposure with the use of the back side exposure device of which a reflecting means is disposed on the front side of a substrate, apart from a photosensitive thin film surface by a distance X (X=0.1 μm to 1000 μm), and formation of a photosensitive thin film pattern in a self alignment manner, with good controllability, at a position a distance Y away from the end of a pattern. The invention fabricates a TFT using that method. | 12-25-2008 |
20080316450 | Exposure Apparatus and Device Manufacturing Method - An exposure apparatus is configured to expose a substrate to light while the substrate is scanned. The apparatus comprises a stage configured to hold the substrate and to move, a measuring device configured to measure a position of a surface of the substrate held by the stage, a controller configured to define an arrangement of measurement points with respect to each of a plurality of shot regions arranged along a straight line, and to cause the measuring device to sequentially measure positions of the surface with respect to the defined measurement points in the plurality of shot regions while causing the stage to move to scan the substrate along the straight line. The controller is configured to define the arrangement such that the plurality of shot regions have the arrangement in common with each other. | 12-25-2008 |
20080316451 | CATOPTRIC OBJECTIVES AND SYSTEMS USING CATOPTRIC OBJECTIVES - In general, in one aspect, the invention features an objective arranged to image radiation from an object plane to an image plane, including a plurality of elements arranged to direct the radiation from the object plane to the image plane, wherein the objective has an image side numerical aperture of more than 0.55 and a maximum image side field dimension of more than 1 mm, and the objective is a catoptric objective. | 12-25-2008 |
20080316452 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A microlithographic projection exposure apparatus contains an illumination system ( | 12-25-2008 |
20080316453 | Exposure apparatus, exposure method, and method for producing device - An exposure apparatus includes an optical system irradiating a first exposure light from a first pattern and a second exposure light from a second pattern onto a first exposure area and second exposure area respectively to form an image of the first pattern and an image of the second pattern on the first and exposing areas respectively; a light receiving device receiving a detecting light via at least a part of the optical system; and a detection system obtaining, in parallel to at least a part of an operation of multiple-exposing a predetermined area on a substrate with the images of first and second patterns, informations about a positional relationship between the image of the first pattern and the substrate and a positional relationship between the image of the second pattern and the substrate via at least a part of the optical system. The substrate can be efficiently well multi-exposed. | 12-25-2008 |
20080316454 | Exposure system - In the case of an exposure system for substrate bodies which carry a photosensitive coating on a substrate surface, comprising a machine frame, a substrate carrier which carries the substrate body and has a substrate carrier surface, and an exposure device with an optics unit, the optics unit and the machine frame being movable relative to one another in a first direction and in a second direction, so that the photosensitive coating can be exposed by this relative movement in the first direction and in the second direction, in order to improve said system in such a way that a compact configuration is possible, despite in this case a substrate body with a very large extent in the first and the second direction, it is proposed that the exposure device has a guide cross-member for at least one guide carriage of the exposure device, the guide carriage carrying the optics unit, in that the guide carriage is guided on the guide cross-member to be movable in the first direction, and in that the guide cross-member is arranged on the machine frame to be movable in the second direction. | 12-25-2008 |
20090002658 | Exposure apparatus, exposure method, and method for producing device - An exposure apparatus includes: a first optical member via which an exposure beam exits; a first movable body movable while holding a substrate in a predetermined area; a first holding device releasably holding a first cover member, capable of forming a space retaining a liquid between the first optical member and the first cover member, such that when the first movable body is away from the first optical member, the first cover member is arranged at the position opposite to the exit surface of the first optical member; a second holding device arranged on the first movable body and capable of holding the first cover member released from the first holding device; and a third holding device arranged on the first movable body and releasably holding a second cover member. Deterioration of the performance due to the cover member can be suppressed while suppressing operating rate deterioration. | 01-01-2009 |
20090002659 | STAGE APPARATUS, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE - This invention discloses a stage apparatus including a first stage ( | 01-01-2009 |
20090002660 | Exposure apparatus and device manufacturing method - An exposure apparatus projects a pattern image onto a substrate via a projection optical system and a liquid, and the projection optical system has an optical member that comes into contact with the liquid and an optical group arranged between the optical member and a reticle. A holding mechanism that holds the optical member and the optical group holds the optical member so that it is movable relative to the optical group. | 01-01-2009 |
20090009736 | Apparatus for and Method of Forming Optical Images - In an apparatus for forming an image in a radiation sensitive layer ( | 01-08-2009 |
20090009737 | Lithography Mask, Rewritable Mask, Process for Manufacturing a Mask, Device for Processing a Substrate, Lithographic System and a Semiconductor Device - Lithography mask or rewritable mask comprising at least one material with reversible changeable optical properties. Processes for manufacturing a mask, devices for processing a substrate, lithographic systems and semiconductor devices. | 01-08-2009 |
20090009738 | SURFACE LEVEL DETECTION METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - This invention discloses a wafer surface level detection method. The wafer surface level detection method includes a first level measurement step of measuring a level of a surface of a substrate having a plurality of shot regions; a position measurement step of measuring a position along the surface of the substrate; a first movement step of moving the substrate in at least a vertical direction on the basis of the measurement result obtained in the first level measurement step and the measurement result obtained in the position measurement step; and a second level measurement step of measuring the level of the surface of the substrate after the first movement step, wherein each of the plurality of shot regions has a measurement region, wherein in the first movement step, the substrate is moved such that a relative position of the measurement region of each of the plurality of shot regions and each of the plurality of shot regions along the surface is constant, and wherein in the second level measurement step, the measurement region of each of the plurality of shot regions is measured. | 01-08-2009 |
20090009739 | EXPOSURE APPARATUS AND METHOD FOR MANUFACTURING DEVICE - An exposure apparatus for exposing a shot region on a substrate includes a movable stage, a projection optical system, a measuring device configured to measure a position of a partial region of a surface of the substrate, and a controller configured to cause the measuring device to measure the position with respect to each of a plurality of measurement points of each of a plurality of shot regions, to determine a global shape of the surface based on the measured positions, to calculate a correction value with respect to each of the plurality of measurement points based on the determined global shape, and to move the stage based on measurement values corrected using the respective correction values corresponding to the respective measurement points. | 01-08-2009 |
20090009740 | MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A measurement apparatus configured to measure a light intensity distribution in a plane to be measured includes a mask including an opening having a dimension smaller than a wavelength of light for forming the light intensity distribution, and a light-shielding portion being configured to substantially shield the light; a first photoelectric conversion element configured to receive the light passing through the opening and output a light intensity signal; and a second photoelectric conversion element arranged at a position apart from the first photoelectric conversion element, and configured to receive the light transmitted through the light-shielding portion and output a light intensity signal. The mask, and the first and second photoelectric conversion elements are moved along the plane to be measured. The light intensity distribution in the plane to be measured is calculated on the basis of the light intensity signals respectively output from the first and second photoelectric conversion elements. | 01-08-2009 |
20090009741 | DEVICE MANUFACTURING METHOD, DEVICE MANUFACTURING SYSTEM, AND MEASUREMENT/INSPECTION APPARATUS - In the case where measurement/inspection of a wafer is performed in a measurement/inspection instrument before and after exposure is performed in an exposure apparatus, various kinds of conditions of the exposure apparatus and the measurement/inspection instrument such as environment in the apparatus/instrument, a measurement condition of an alignment system a measurement condition of an AF measurement device, a wafer grid, and image distortion are made to be matched. In particular, in accordance with a processing state of the exposure apparatus and a coater developer, a measurement result of a film, and the like, exclusion of a mark for overlay error measurement, adjustment of the measurement condition and correction of the measurement result, adjustment of the environment, correction of the measurement result according to the environment, and adjustment of pattern defect inspection are performed. Further, in calibration processing, aberration of a projection optical system of an exposure apparatus that transfers a pattern on a wafer for calibration, and the like are also taken into consideration. Accordingly, the yield of device production can be improved. | 01-08-2009 |
20090015809 | Image Recording Method and Device - A test pattern is formed on a substrate based on test data supplied from a test data memory and a line width of a test pattern is measured. Mask data is set to have a specified micromirror of a DMD, which constitutes exposure heads in an off state fixedly at a mask data setting section, so that a light quantity is corrected by a line width changing quality. | 01-15-2009 |
20090015810 | METHOD OF MEASURING THE POSITION OF A MASK SURFACE ALONG THE HEIGHT DIRECTION, EXPOSURE DEVICE, AND EXPOSURE METHOD - A method to measure the height-direction position of a mask M in an exposure device having a function to irradiate the mask M with light emitted from a light source and transfer a pattern formed on the mask M onto a photosensitive substrate such as a wafer by a projection optical system, a mask surface height-direction position measurement method characterized by moving, before measuring the height-direction position of the mask M, an exposure area defining member | 01-15-2009 |
20090021709 | Exposure apparatus, exposure method, and method for producing device - An exposure apparatus fills an optical path space on a side of an image plane of a projection optical system with liquid and exposes a substrate via the projection optical system and the liquid. The exposure apparatus has a measurement unit which measures an optical property of the liquid. According to the measurement result, it is possible to adjust the optical characteristic of the liquid by a liquid mixing unit. Thus, it is possible to maintain the exposure accuracy at a desired state when performing immersion exposure. | 01-22-2009 |
20090021710 | IMMERSION LITHOGRAPHY APPARATUS AND METHOD OF FORMING PATTERN USING THE SAME - An immersion lithography apparatus and/or a method of forming a pattern. In an immersion lithography apparatus, an intermediate medium may not directly contact the photoresist layer and it may be possible to maximize the transport speed of a wafer without generating defects (e.g. water marks). An intermediate medium may include a first intermediate medium and a second intermediate medium that for an interface. The interface may be controlled by charges through an electrode to control a numerical aperture. Accordingly, a pattern may be formed using an immersion lithography apparatus capable of controlling a numerical aperture so that a relatively high refractive index can be achieved. | 01-22-2009 |
20090021711 | METHOD OF INSPECTING EXPOSURE SYSTEM AND EXPOSURE SYSTEM - A method of inspecting an exposure system uses a mask pattern including a first and a second mask pattern, the first pattern being formed in a line-and-space of a first pitch, the second pattern being disposed in parallel with the first mask pattern and formed in a line-and-space of a second pitch. The method includes illuminating the mask pattern with inspection light at a first angle with the optical axis of the illumination light from a light source, allowing the first mask pattern to diffract the inspection light to generate first diffraction light, and allowing the second mask pattern to diffract the inspection light to generate second diffraction light. The first angle is to allow the first diffraction light to be diffracted asymmetrically with the optical axis into the projection optical system and the second diffraction light to be diffracted symmetrically with the optical axis into the projection optical system. | 01-22-2009 |
20090021712 | PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, EXPOSURE METHOD, DISPLAY MANUFACTURING METHOD, MASK, AND MASK MANUFACTURING METHOD - An exposure apparatus of the present invention is an exposure apparatus for, while moving a first object M and a second object P along a scanning direction, performing projection exposure on the second object, which has a first projection optical system PL | 01-22-2009 |
20090027640 | MOVABLE BODY DRIVE METHOD AND MOVABLE BODY DRIVE SYSTEM, PATTERN FORMATION METHOD AND APPARATUS, EXPOSURE METHOD AND APPARATUS, POSITION CONTROL METHOD AND POSITION CONTROL SYSTEM, AND DEVICE MANUFACTURING METHOD - Positional information of a movement plane of a wafer stage is measured using an encoder system such as, for example, an X head and a Y head, and the wafer stage is controlled based on the measurement results. At the same time, positional information of the wafer stage is measured using an interferometer system such as, for example, an X interferometer and a Y interferometer. When abnormality of the encoder system is detected or when the wafer stage moves off from a measurement area of the encoder system, drive control is switched to a drive control based on the measurement results of the interferometer system. Accordingly, drive control of the wafer stage can be performed continuously in the entire stroke area, even at the time when abnormality occurs in the encoder system. | 01-29-2009 |
20090027641 | ILLUMINATION OPTICAL SYSTEM AND EXPOSURE APPARATUS INCLUDING THE SAME - An illumination optical system includes a first optical unit that collects light emitted from a light source; a reflective integrator that has a plurality of cylindrical reflection surfaces, whose generating lines are oriented in a uniform direction, and forms a plurality of linear light sources by using the light emitted from the first optical unit; a pair of flat mirrors that are disposed parallel to the generating lines so as to face each other with the plurality of linear light sources residing therebetween; an aperture stop that is disposed perpendicular to the generating lines and has an opening for allowing the light emitted from the plurality of linear light sources to pass therethrough; and a second optical unit that integrates beams of the light emitted from the plurality of linear light sources that have passed through the opening one on top of another in an illumination target plane. | 01-29-2009 |
20090027642 | Exposure apparatus - An exposure apparatus includes a radiation system configured to supply a projection beam of radiation, and a patterning device configured to pattern the projection beam according to a desired pattern. The apparatus includes a substrate table having an area configured to support a substrate, and a projection system configured to project the patterned beam onto a target portion of the substrate. At least a part of the apparatus that during use of the apparatus is exposed to radiation is coated with a coating. The coating includes a metal oxide, or a photocatalyst, or a semiconductor, or any combination thereof. | 01-29-2009 |
20090033895 | Lithography apparatus with flexibly supported optical system - A lithography apparatus includes a projection optical system that projects an image of a pattern, a first support member, a second support member that is flexibly coupled to the first support member by a first flexible coupling device such that the second support member is suspended from the first support member, and a second flexible coupling device that flexibly couples the projection optical system to the second support structure. This arrangement is capable of improving the vibration characteristics of the projection optical system. | 02-05-2009 |
20090033896 | EXPOSURE APPARATUS AND METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus (EX) includes: a substrate holder ( | 02-05-2009 |
20090033897 | Limiting a Portion of a Patterning Device Used to Pattern A Beam - A system and method are used to limit a proportion of a programmable patterning means used to pattern a substrate. This is done such that a size of a repeated pattern to be exposed on the substrate is an integer multiple of a size of a pattern exposed on the substrate by the patterned beam. | 02-05-2009 |
20090033898 | DEVELOPING APPARATUS, DEVELOPING METHOD AND STORAGE MEDIUM - A developing apparatus includes, to process substrates each coated with a resist and processed by an exposure process by a developing process, includes: plural developing units each provided with a substrate holding device for stably pouring a developer onto the substrate, a first developer nozzle to be used in common by the plural developing units to pour the developer in a band-shaped flow onto the substrates held by each of the substrate holding devices, a nozzle driving mechanism for carrying the first developer nozzle from one to another of the developing units, and moving the first developer nozzle with one end of a band-shaped area into which the developer is to be poured through the first developer nozzle directed toward the center of the substrate in each of the developing units such that a part in a surface of the substrate onto which the developer is poured moves from a central part toward a peripheral part or from a peripheral part toward a central part in the surface of the substrate to coat the surface of the substrate entirely with a developer film, and second developer nozzles for pouring the developer into a circular area or a band-shaped area of a short length shorter than that of the band-shaped area into which the first developer nozzle pours the developer in a central part of the substrate on which the developer film has been formed by the first developer nozzle. The nozzles are used selectively for developing steps. | 02-05-2009 |
20090033899 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR MANUFACTURING DISPLAY PANEL SUBSTRATE - The movable stages carry chucks | 02-05-2009 |
20090033900 | Movable Body Drive Method and Movable Body Drive System, Pattern Formation Method and Pattern Formation Apparatus, and Device Manufacturing Method - First positional information of a stage is measured using an interferometer system, for example, an X interferometer and a Y interferometer. At the same time, second positional information of the stage is measured using an encoder system, for example, one X head and one Y head. A coordinate offset is set by performing a moving average of the difference between the first positional information and the second positional information for over a predetermined measurement time, and the reliability of output signals of the encoder system is verified using the coordinate offset. In the case the output signals are determined to be normal, the stage is servocontrolled using the sum of the first positional information and the coordinate offset. Such servocontrol by a hybrid method makes it possible to perform drive control of the stage having stability of the interferometer and accuracy of the encoder together. | 02-05-2009 |
20090033901 | DRIVING APPARATUS AND EXPOSURE APPARATUS USING THE SAME AND DEVICE MANUFACTURING METHOD - A driving apparatus for driving an object in a vacuum environment includes a first chamber whose interior is maintained in a vacuum environment, a mover configured to load the object, and a stator, wherein the mover includes one or more magnets, the stator includes one or more coils. The mover moves along an upper surface of the stator in a non-contact state therewith when an electric current is applied to the coil or coils. The upper surface of the stator is a part of a partition wall of the first chamber. | 02-05-2009 |
20090040485 | PHOTOLITHOGRAPHY SYSTEM - A photolithography system has at least one spatial light modulator, a scanning mechanism configured to move an exposure area relative to a target object in a scanning direction, a plurality of memories (1 | 02-12-2009 |
20090040486 | REFLECTIVE FILM INTERFACE TO RESTORE TRANSVERSE MAGNETIC WAVE CONTRAST IN LITHOGRAPHIC PROCESSING - A method and system for exposing a resist layer with regions of photosensitivity to an image in a lithographic process using a high numerical aperture imaging tool. There is employed a substrate having thereover a layer reflective to the imaging tool radiation and a resist layer having a region of photosensitivity over the reflective layer, with the resist layer having a thickness. The imaging tool is adapted to project radiation containing an aerial image onto the resist layer, with a portion of the radiation containing the aerial image passing through the resist layer and reflecting back to the resist layer. The reflected radiation forms an interference pattern in the resist layer of the projected aerial image through the resist layer thickness. The thickness and location of the resist layer region of photosensitivity with respect to the reflective layer are selected to include from within the interference pattern higher contrast portions of the interference pattern in the direction of the resist thickness, and to exclude lower contrast portions of the interference pattern in the resist thickness direction from said resist layer region of photosensitivity, to improve contrast of the aerial image in said resist layer region of photosensitivity. | 02-12-2009 |
20090040487 | IMAGING DEVICE IN A PROJECTION EXPOSURE FACILITY - An imaging device in a projection exposure machine for microlithography has at least one optical element and at least one manipulator, having a linear drive, for manipulating the position of the optical element. The linear drive has a driven subregion and a nondriven subregion, which are movable relative to one another in the direction of a movement axis. The subregions are interconnected at least temporarily via functional elements with an active axis and via functional elements with an active direction at least approximately parallel to the movement axis. | 02-12-2009 |
20090046263 | USING PHASE DIFFERENCE OF INTERFERENCE LITHOGRAPHY FOR RESOLUTION ENHANCEMENT - Interference lithography (IL) system and methods are disclosed according to embodiments of the invention. Two beams of coherent light with a first phase difference expose a first interference pattern on a nonlinear photoresist. A second interference pattern may be exposed on the nonlinear photoresist using the same coherent light beams with a second phase difference. The difference between the first and second phase differences is between 70° and 270°. The ensuing pattern is a composite of the first and second interference patterns. The IL may employ a third and fourth light beam. | 02-19-2009 |
20090046264 | Synchronizing Timing of Multiple Physically or Logically Separated System Nodes - A method for synchronizing a plurality of series coupled nodes is described. A master trigger is transmitted through the plurality of series coupled local nodes in a downstream direction from a first node to a last node and retransmitted through the plurality of series coupled nodes in an upstream direction from the last node to the first node. Then, a local synchronization time is calculated at each of the plurality of series coupled nodes based on a differential measurement between the arrival of the master trigger in the downstream direction and the upstream direction. Operations in the local nodes may be synchronized based on the local synchronization time. | 02-19-2009 |
20090046265 | ILLUMINATION OPTICAL APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An illumination optical apparatus of the present invention includes an illumination optical system having a plurality of reflection mirrors arranged to guide illumination light flux to an irradiated plane. A first partial field stop is arranged in an optical path of the illumination optical system to form a first profile line of an illumination region that is to be formed on the irradiated plane. A second partial field stop is arranged between the illumination optical system and the irradiated plane to form a second profile line of the illumination region. The illumination optical system includes a relay optical system for substantially optically conjugating the position of the first partial field stop and the position of the second partial field stop. | 02-19-2009 |
20090046266 | EXPOSING METHOD, EXPOSING DEVICE, AND DEVICE MANUFACTURING METHOD - An exposure method is arranged to guide illumination light having passed through a first pattern formed in a first pattern formation region and a second pattern formed in a second pattern formation region different from the first pattern formation region, to a substrate to transfer the first pattern and the second pattern onto the substrate. The exposure method comprises preparing a first illumination forming member for forming illumination light according to an illumination condition for illuminating the first pattern; preparing a second illumination forming member for forming illumination light according to an illumination condition for illuminating the second pattern; scanning the first pattern and the second pattern, and the substrate in a scanning direction with respect to the illumination light; scanning the first illumination forming member and the second illumination forming member in synchronism with the first pattern and the second pattern; and effecting an exposure of the first pattern in a first pattern transfer region on the substrate and effecting an exposure of the second pattern in a second pattern transfer region on the substrate. The exposure step includes consecutively carrying out the exposure of the first pattern and the exposure of the second pattern. | 02-19-2009 |
20090046267 | Lithographic apparatus with enhanced spectral purity, device manufacturing method and device manufactured thereby - A lithographic apparatus includes an illumination system configured to condition a radiation beam; a support configured to support a patterning device, the patterning device being configured to impart the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table configured to hold a substrate; and a projection system configured to project the patterned radiation beam onto a target portion of the substrate, wherein the radiation beam is reflected from at least one grazing incidence mirror that enhances the spectral purity of the radiation beam. | 02-19-2009 |
20090059194 | POSITION MEASUREMENT SYSTEM, EXPOSURE APPARATUS, POSITION MEASUREMENT METHOD, EXPOSURE METHOD AND DEVICE MANUFACTURING METHOD, AND TOOL AND MEASUREMENT METHOD - A first grating is placed on the upper surface of wafer stage WST, and on the +Y side of the first grating, a calibration area is arranged where an auxiliary grating is formed. By performing a predetermined calibration process using the calibration area, such as calibration process related to position measurement of the wafer stage using a head and the like of an encoder, it becomes possible to perform position control of the wafer stage in the predetermined direction with good precision using the encoder after the calibration process. | 03-05-2009 |
20090059195 | EXPOSURE DEVICE WITH MECHANISM FOR FORMING ALIGNMENT MARKS AND EXPOSURE PROCESS CONDUCTED BY THE SAME - The present invention relates to an exposure device for transferring circuit patterns of a mask to a roll-film-shaped object. The exposure device includes a supply reel rotation section that is constituted by a supply reel around which the object is wound and that feeds the object by rotating the supply reel, at least one guide roller for guiding the object fed from the supply reel rotation section, an exposure stage on which the circuit patterns are transferred to the object guided by the guide roller, and an alignment mark forming section which forms, on the object, alignment marks that are used to align the mask with the object and which is positioned between the guide roller and the exposure stage. | 03-05-2009 |
20090066925 | MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD - The present invention provides a measurement apparatus comprising a first polarization control unit configured to control a polarization state of light which enters an optical system to be measured to at least two different polarization states, a wavefront splitting unit which is inserted between the first polarization control unit and the optical system, a second polarization control unit which is inserted between the optical system and an image sensor, includes a phase plate, and is configured to control the polarization state of the light, and a processing unit configured to calculate the optical characteristics of the optical system, based on a plurality of interference patterns sequentially sensed by the image sensor by rotating the phase plate. | 03-12-2009 |
20090066926 | IMAGE FORMING METHOD AND APPARATUS - An acousto-optic cell is used in a method and device for patterning a workpiece, for exposing a radiation sensitive layer on a workpiece such as a mask or a device substrate. The acousto-optic cell includes an array of transducers. The transducers may supply columns of ultrasound to the cell. They may produce a two dimensional modulation pattern within the cell. Electromagnetic radiation is modulated by the cell and related to a workpiece. The modulation of the cell may modulate the amplitude and/or phase of the electromagnetic radiation. In some embodiments, adjoining columns of ultrasound may be positioned so that portions of the electromagnetic radiation partially overlap and interfere, after they are modulated. | 03-12-2009 |
20090073400 | DEVICE AND METHOD FOR MANUFACTURING A PARTICULATE FILTER WITH REGULARLY SPACED MICROPORES - Various embodiments disclose devices and methods for fabricating microporous particulate filters with regularly space pores wherein sheet membrane substrates are exposed to energetic particle radiation through a mask and the damaged regions removed in a suitable developer. The required depth of field is achieved by using energetic particles to minimize diffraction and an energetic particle source with suitably small diameter. | 03-19-2009 |
20090073401 | Lithographic apparatus with rotation filter device - A lithographic apparatus including a filter device is disclosed. The filter device has a plurality of foils attached to a holder which is able to rotate around a rotation axis. The foils are arranged substantially parallel to the rotation axis. The foils comprise a uni-directional carbon-fiber composite material selected from the group consisting of carbon-carbon composite (C-C composite) and carbon-silicon carbide composite (C—SiC composite). During operation, the filter device rotates and filters out debris from a radiation source, such as a Sn plasma source. Such a filter device per se may be provided. | 03-19-2009 |
20090073402 | LITHOGRAPHIC APPARATUS AND EXPOSURE METHOD - A lithographic apparatus includes a control unit arranged to perform a first position measurement of the patterning device, apply an asymmetric acceleration profile to the support supporting the patterning device, perform a second position measurement of the patterning device, determine a slip characteristic of the pattering device based on the two position measurements and the applied acceleration profile, and perform a scanning exposure of a substrate taking into account the slip characteristic of the patterning device. | 03-19-2009 |
20090073403 | Methods of characterizing similarity or consistency in a set of entities - A method of characterizing the similarity between entities in a set of entities, wherein an entity is selected from substrate layers, substrate fields and substrates. Including determining positions at a plurality of measurement points per entity for providing position data; computing a correlation coefficient for each of a plurality of combinations of entities from the entity set, the correlation coefficients being based on the position data and being representative of the correlation between the associated combination of entities; comparing the correlation coefficients to a threshold amount to determine the extent of similarity between the entities. The invention also relates to a similar method incorporating determining an average value of the computed correlation coefficients, the average value providing a measure of the consistency. | 03-19-2009 |
20090073404 | Variable slit device, illumination device, exposure apparatus, exposure method, and device manufacturing method - A variable slit device for forming illumination light having a slit shape extending in a longitudinal direction and a lateral direction. A first light intensity setting unit sets a first light intensity distribution, which is the light intensity distribution of a peripheral portion, which is one of a pair of peripheral portions extending along the longitudinal direction of the slit shape. A second light intensity setting unit sets a second light intensity distribution, which is the light intensity distribution of a peripheral portion, which is the other one of the pair of peripheral portions. Selection members select a first portion of a light beam that has the first light intensity distribution and a second portion of the light beam that has the second light intensity distribution. | 03-19-2009 |
20090073405 | Movable body drive method and movable body drive system, and pattern formation method and pattern formation apparatus - A first positional information of a wafer stage is measured using an interferometer system such as, for example, a Z interferometer. At the same time, a second positional information of the wafer stage is measured using a surface position measurement system such as, for example, two Z heads. Moving average is applied to a difference between the first positional information and the second positional information for a predetermined measurement time to set a coordinate offset, and the coordinate offset is used to inspect the reliability of output signals of the surface position measurement system. When the output signals are confirmed to be normal, servo control of the wafer stage is performed using a sum of the first positional information and the coordinate offset. According to the servo control by this hybrid method, drive control of the wafer stage which has the stability of the interferometer and the precision of the Z heads becomes possible. | 03-19-2009 |
20090073406 | MARKER STRUCTURE, MASK PATTERN, ALIGNMENT METHOD, AND LITHOGRAPHIC METHOD AND APPARATUS - A mask pattern for imaging a marker structure on a substrate with a lithographic apparatus, the marker structure being configured to determine optical alignment or overlay, includes constituent parts to define the marker structure. The constituent parts include a plurality of segments, each segment having substantially a size of a device feature and a segment shape. The mask pattern includes at least one assist feature located at a critical part of the segment shape. The at least one assist feature has substantially a size below a resolution of the lithographic projection and is configured to counteract optical aberrations or optical limitations generated in the lithographic projection at the critical part. | 03-19-2009 |
20090073407 | EXPOSURE APPARATUS AND EXPOSURE METHOD - An exposure apparatus illuminates a pattern on a first object with an illuminating beam to expose an area to be exposed on a second object with a pattern image. The exposure apparatus includes a scanning apparatus that scans the first object in a prescribed scanning direction with the illuminating beam, and an optical guiding device that guides the illuminating beam which has scanned the first object onto the area to be exposed on the second object. | 03-19-2009 |
20090073408 | Lithographic apparatus and device manufacturing method - Lithographic Apparatus and Device Manufacturing Method A lithographic projection apparatus is disclosed in which measures are taken to prevent or reduce the presence of bubbles in liquid through which the projection beam radiates. This may be done, for example, by ensuring that a gap between a substrate and a substrate table is filled with immersion liquid or by causing a localized flow radially outwardly from the optical axis in the vicinity of the edge of the substrate. | 03-19-2009 |
20090079950 | Exposure apparatus, exposure method, and method for producing device - A liquid immersion exposure apparatus includes an optical member through which an exposure beam passes, a flow passage in which a liquid flows, the flow passage being in fluidic communication with a space between the optical member and an object opposite to the optical member, and an opening at which a plurality of slits are provided, and from which the liquid is supplied to the space through the flow passage. | 03-26-2009 |
20090079951 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a single or multiple stage lithography apparatus, a table provides a confining surface to a liquid supply system during, for example, substrate table exchange and/or substrate loading and unloading. In an embodiment, the table has a sensor to make a measurement of the projection beam during, for example, substrate table exchange and/or substrate loading and unloading. | 03-26-2009 |
20090086177 | LITHOGRAPHIC APPARATUS HAVING A LORENTZ ACTUATOR WITH A COMPOSITE CARRIER - An actuator is configured to produce a displacement force between a first and a second part to displace the first and second parts relative to each other. The Actuator includes a first magnet subassembly, attached to one of a first and a second part, and an electrically conductive element, attached to the other one of the first and second part and placed near the first magnet subassembly. The first magnet subassembly includes at least one set of at least two adjacently placed magnets oriented such that their magnetic polarizations are substantially mutually opposite, and a back mass made out of a magnetic flux guiding material and connecting the magnets to guide a magnetic flux there between. The first magnet subassembly includes a carrier made of a non-magnetic-flux-guiding material, the carrier including at least one recess in which the at least one set of back mass and magnets is embedded. | 04-02-2009 |
20090086178 | EXPOSURE APPARATUS - Electric power is generated by using a generator equipped with: a coil unit that is arranged on a barrel platform and incorporates coils; and a magnet unit that has a magnet section arranged on a protruding section of a column separated from the barrel platform in terms of vibration and generates an electromotive force in a non-contact state with the coils, and a motor that drives the magnet section, and thus a wiring that supplies electric power to the barrel platform does not have to be used. Accordingly, vibration that has been propagated to the barrel platform through the wiring can be precluded. | 04-02-2009 |
20090086179 | Radiometric Kirk Test - Systems and methods for measuring stray light in a lithographic apparatus are described using Radiometric Kirk Test (also known as Scanning SAMOS Test). The Radiometric Kirk Test of the present invention involves a test pattern having an isolated dark area within a much larger bright field. The radiometric Kirk test includes at least two continuous or stepped scans of an aperture of a detector in an image plane of a lithographic system. During a dark area measurement, the aperture of the detector is positioned such that at least at one point the aperture of the detector is centered within an image of the dark area. During a bright area measurement, the aperture of the detector is positioned within the image of the bright field. The integrated detector signal is correspondingly computed for the dark area measurement and the bright area measurement. The ratio of the integrated dark area measurement result and integrated bright area measurement result is a measure of stray light present in the lithographic apparatus. | 04-02-2009 |
20090086180 | LITHOGRAPHIC APPARATUS, STAGE APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is described, the apparatus comprising an illumination system configured to condition a radiation beam; a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; a projection system configured to project the patterned radiation beam onto a target portion of the substrate, a chuck constructed to hold the substrate table; a positioning device for, in use, displacing the chuck; a control unit configured to control the positioning device, wherein the control unit is arranged to drive the positioning device to excite the chuck by a substantially dynamic force to enable deformation of the chuck, prior to aligning the patterning device. | 04-02-2009 |
20090086181 | Lithographic apparatus and device manufacturing method - An immersion lithography apparatus is disclosed in which liquid is supplied to a space between a projection system and a substrate, and a plate structure is provided to divide the space into two parts. The plate structure has an aperture to allow transmission of the projection beam, has through holes in it to reduce the damping effect of the presence of the plate and optionally has one or more inlets and outlets to provide various flows around the aperture in the plate. An embodiment of the invention may reduce the transportation of contaminants, stray light, temperature gradients, and/or the effect of bubbles on the imaging quality. | 04-02-2009 |
20090091721 | IMMERSION EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes a projection optical system configured to project a pattern of an original onto a substrate via a liquid to expose the substrate to light, a stage mechanism including a stage configured to hold the substrate, an immersion unit configured to supply a liquid to a gap between the substrate or the stage and the projection optical system and to recover the liquid from the gap, and a controller configured to shut off power supply to the immersion unit after determining that recovery of the liquid by the immersion unit is completed up to a target level, if a power-off request is received. | 04-09-2009 |
20090091722 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus, that exposes each shot according to shot arrangement on a substrate, includes a detector configured to detect a mark; and a processor configured to determine a coefficient of an expression that represents the shot arrangement by coordinate transformation of reference shot arrangement based on the positions of marks of a plurality of shots on the substrate detected by the detector, the processor being configured to calculate, from a relationship between a feature value of a signal of a mark detected by the detector and an offset value for the coefficient, and a feature value of a signal of a mark detected by the detector, an offset value for the coefficient, and to offset the coefficient with the calculated offset value, wherein the processor is further configured to learn the relationship based on a coefficient that represents an error of the offset coefficient obtained through an overlay inspection apparatus, the offset value, and the feature value. | 04-09-2009 |
20090091723 | MEASURING APPARATUS, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD - The present invention provides a measuring apparatus which measures a shape of a surface of a measurement target object, comprising a light projecting optical system configured to split light from a light source into measurement light and reference light so that the measurement light enters the surface of the measurement target object and the reference light enters a reference mirror, a light receiving optical system configured to guide the measurement light reflected by the surface of the measurement target object and the reference light reflected by the reference mirror to a photoelectric conversion device, and a processing unit configured to calculate the shape of the surface of the measurement target object based on an interference pattern which is detected by the photoelectric conversion device and formed by the measurement light and the reference light. | 04-09-2009 |
20090091724 | POSITIONING APPARATUS, EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - There is provided a positioning apparatus capable of reliably and simply detecting a break of a current supply path to an actuator which drives a table. A current supply path ( | 04-09-2009 |
20090091725 | Lithographic Apparatus, Projection Assembly and Active Damping - A lithographic apparatus comprises an illumination system configured to condition a radiation beam and a support constructed to support a patterning device. The lithographic apparatus further comprises a substrate table constructed to hold a substrate; and a projection system configured to project the patterned beam onto a target portion of the substrate. An active damping system is provided to dampen a vibration of at least part of the projection system. The active damping system comprises a combination of a sensor to measure a position quantity of the projection system and an actuator to exert a force on the projection system in dependency of a signal provided by the sensor. The active damping system is corrected to a damping mass, the damping mass being connected to the projection system. | 04-09-2009 |
20090097002 | EXPOSURE DEVICE - The present invention provides an inexpensive exposure device with a simple structure which can draw in high precision by correcting drawing pixel positions at a time of exposing by respective beams emitted from a side of a device for selectively modulating a plurality of pixels so as to draw. A predetermined drawing shape is obtained by relatively moving a stage and an exposure head so as to execute a scanning exposure in accordance with a predetermined pattern in a state of regulating an image allocated to each of drawing pixels on the basis of correcting data, stored in a memory of a control unit, at least relating to a locus of drawing pixel positions corresponding to scanning positions of predetermined drawing pixels necessary for correction, and radiating each of light beams emitted from a device disposed in the exposure head selectively modulating a plurality of drawing pixels on the basis of the adjusted image data on an exposure member mounted on the stage. | 04-16-2009 |
20090097003 | METHOD FOR POSITIONING AN OBJECT BY AN ELECTROMAGNETIC MOTOR, STAGE APPARATUS AND LITHOGRAPHIC APPARATUS - A method for positioning an object by an electromagnetic motor which, in use, produces a plurality of primary forces and a pitch torque associated with the primary forces. The method includes a cycle which includes: determining the desired forces and torques for positioning the object, determining the primary forces to be generated by the motor, determine the pitch torque from either the primary forces or from the desired forces and torques, determine the desired signals for the motor to generate the primary forces. In a next cycle, prior to the determination of the primary forces, the desired forces and torques for positioning the object are modified using the pitch torque determined in the previous cycle of steps. | 04-16-2009 |
20090103066 | Lithographic Apparatus and Device Manufacturing Method - A control system for controlling a position or position related quantity of an object is provided. A measurement system is configured to measure a position or position related quantity of the object. A controller is configured to provide a control signal on the basis of the measured position or position related quantity. A actuator actuates the object on the basis of the control signal. A filter unit, which may be a partial order filter unit, filters the measured position or position related quantity. | 04-23-2009 |
20090103067 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus comprises an original stage which holds an original, a substrate stage which holds a substrate, and a control unit which controls a measurement process of measuring a relative position between the original and substrate stages, wherein original-side measurement marks including an original-side rough-measurement mark and an original-side fine-measurement mark are formed on the original stage, substrate-side measurement marks including a substrate-side rough-measurement mark and a substrate-side fine-measurement mark are formed on the substrate stage, and the control unit controls the measurement process to perform rough measurement of the relative position between the original and substrate stages using the original-side and the substrate-side rough-measurement marks, correct the relative position between the original and substrate stages based on the result of the rough measurement, and then perform fine measurement of the relative position between the original and substrate stages using the original-side and substrate-side fine-measurement marks. | 04-23-2009 |
20090115982 | MOVABLE BODY APPARATUS, PATTERN FORMATION APPARATUS AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - On the +X and −X sides of a projection unit, a plurality of Z heads are arranged in parallel to the X-axis, by a predetermined distance half or less than half the effective width of the Y scale so that two Z heads each constantly form a pair and face a pair of Y scales. Of the pair of heads consisting of two Z heads which simultaneously face the scale, measurement values of a priority head is used, and when abnormality occurs in the measurement values of the priority head due to malfunction of the head, measurement values of the other head is used, and the positional information of the stage in at least the Z-axis direction can be measured in a stable manner and with high precision. | 05-07-2009 |
20090115983 | Immersion lithography apparatus - An immersion lithographic apparatus is disclosed that includes a detector to measure a distance between a substrate support structure and/or a substrate and a fluid handling system and/or to detect when an item is present between the fluid handling system and a top surface of the substrate and/or substrate support structure. The detector may use information of an electrical property of the fluid provided by the fluid handling system to measure the distance. The detector may measure variation in resistance and/or in capacitance between an electrode of the fluid handling system and an electrode of the substrate and/or substrate support structure. | 05-07-2009 |
20090115984 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a projection system configured to project a patterned radiation beam onto a substrate supported by a substrate table; a liquid supply system configured to supply a space between the projection system and the substrate with a liquid; a closing surface configured to provide a confining surface for liquid supplied by the liquid supply system in place of the substrate; and a closing surface positioning device configured to create and maintain a gap between the liquid supply system and the closing surface so that the liquid flows in the gap when the closing surface is used to confine the liquid supplied by the liquid supply system. | 05-07-2009 |
20090122283 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus ( | 05-14-2009 |
20090122284 | LITHOGRAPHIC APPARATUS HAVING AN ACTIVE DAMPING SUBASSEMBLY - A lithographic apparatus includes a projection system to project a patterned radiation beam onto a substrate, and a damping system to dampen a vibration of at least part of the projection system, the damping system including an interface damping mass and an active damping subsystem to dampen a vibration of at least part of the interface damping mass, the interface damping mass connected to the projection system, and the active damping subsystem connected to the interface damping mass, the active damping subsystem including a sensor to measure a position quantity of the interface damping mass and an actuator to exert a force on the interface damping mass based on a signal provided by the sensor. The damping system further includes an interface damping device connected to the interface damping mass and configured to damp a movement of the interface damping mass at an eigenfrequency of the interface damping mass. | 05-14-2009 |
20090122285 | MOVABLE BODY APPARATUS, PATTERN FORMATION APPARATUS AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - On the +X and −X sides of a projection unit, a plurality of Y heads are arranged in parallel to the X-axis by a predetermined distance half or less than half the effective width of the scale, so that two heads each constantly form a pair and face a pair of Y scales. Similarly, on the +Y and −Y sides of the projection unit, a plurality of X heads are arranged in parallel to the Y-axis by the predetermined distance described above, so that two heads each constantly form a pair and face a pair of X scales. Of the pair of heads consisting of two heads which simultaneously face the scale, measurement values of a priority head is used, and when abnormality occurs in the measurement values of the priority head due to malfunction of the head, measurement values of the other head is used. Then, by using the measurement values of the two pairs of Y heads and the pair of X heads, a position of a stage within a two-dimensional plane is measured in a stable manner and with high precision. | 05-14-2009 |
20090122286 | MOVABLE BODY APPARATUS, PATTERN FORMATION APPARATUS AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - On the +X and −X sides of a projection unit, a plurality of Z heads are arranged in parallel to the X-axis, by a distance half or less than half the effective width of the Y scale so that two Z heads each constantly form a pair and face a pair of Y scales. Of the pair of heads consisting of two Z heads which simultaneously face the scale, measurement values of a priority head is used, and when abnormality occurs in the measurement values of the priority head due to dust and the like adhering on the scale surface, measurement values of the other head is used, and the positional information of the stage in at least the Z-axis direction is measured in a stable manner and with high precision. | 05-14-2009 |
20090122287 | MOVABLE BODY APPARATUS, PATTERN FORMATION APPARATUS AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - On the +X and −X sides of a projection unit, a plurality of Y heads are arranged in parallel to the X-axis by a distance half or less than half the effective width of the scale, so that two heads each constantly form a pair and face a pair of Y scales. Similarly, on the +Y and −Y sides of the projection unit, a plurality of X heads are arranged in parallel to the Y-axis by the distance, so that two heads each constantly form a pair and face a pair of X scales. Of the pair of heads consisting of two heads which simultaneously face the scaler measurement values of a priority head is used, and when abnormality occurs in the measurement values of the priority head due to dust and the like adhering on the scale surface, measurement values of the other head is used. By using the two pairs of Y heads and the pair of X heads, a position of a stage within a two-dimensional plane is measured in a stable manner and with high precision. | 05-14-2009 |
20090122288 | DEVICE FOR THE LOW-DEFORMATION REPLACEABLE MOUNTING OF AN OPTICAL ELEMENT - The invention relates to a device for the low-deformation replaceable mounting of an optical element, in particular a closure plate of an objective of a projection exposure system for microlithography for the production of semiconductor components, in a mount ( | 05-14-2009 |
20090128789 | Projection exposure device, and exposure process performed by the device - The present invention relates to provide a projection exposure device having a small volume, thereby not occupying a large installation space. The projection exposure device is configured to transfer patterns formed on a mask to a surface of film-shaped tape on an upright exposure stage by projecting the patterns onto the surface using light. This projection exposure device includes a transfer mechanism for feeding the tape to the exposure stage vertically, and a projection optical mechanism for irradiating the surface of the tape with the light. The projection optical mechanism is composed of Dyson optics located opposite the transfer mechanism across the exposure stage, and has an optical axis that is substantially perpendicular to the exposure stage. | 05-21-2009 |
20090128790 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - When a transition is performed from a state where one wafer stage is positioned in an area including a liquid immersion area to a state where the other wafer stage is positioned in the area including the liquid immersion area, eaves sections arranged in both wafer stages are to be engaged to make a proximity or a contact state in the X-axis direction, and both stages are simultaneously driven in the X-axis direction while maintaining the state. In this manner, the liquid immersion area moves back and forth between the two stages via the eaves sections. This can restrain leakage of the liquid via a gap between both wafer stages, and further, a liquid leakage to the reflection surfaces arranged on the side surface of both wafer stages can be restrained. Further, interference between the reflection surfaces arranged in both wafer stages can be avoided. | 05-21-2009 |
20090128791 | Stage system, lithographic apparatus including such stage system, and correction method - A position measurement system to measure a position of a movable stage includes a reference plate; a plurality of sensors arranged such that, depending on a position of the movable stage relative to the reference plate, at least a subset of the plurality of sensors is configured to cooperate with the reference plate to provide for each of the sensors in the subset respective sensor signals representative of a position of the respective sensor relative to the reference plate; and a processor arranged to determine from the sensor signals a stage position, the processing device configured so as to, when the stage is in a position where an over-determined number of sensor signals is provided by at least the subset of the sensors that are in operational cooperation with the reference plate, (a) determine the stage position from a subset of the over-determined number of sensor signals, and (b) correct a sensor signal of one or more of the sensors from a discrepancy between the determined stage position and a remainder of the sensors signals. | 05-21-2009 |
20090128792 | Lithographic apparatus and method - A method is disclosed that includes introducing a substrate into a pre-aligner of a lithographic apparatus, using a detector to measure the location of an alignment mark provided on a side of the substrate which is opposite to the location of the detector, and after measurement, putting the substrate onto a substrate table of the lithographic apparatus, the substrate being positioned on the substrate table such that the alignment mark provided on the opposite side of the substrate is visible through a window of the substrate table. | 05-21-2009 |
20090128793 | Liquid recovery apparatus, exposure apparatus, exposure method, and device manufacturing method - A lithographic projection apparatus includes a substrate table by which a substrate is held, a projection system via which a patterned beam is projected onto the substrate to expose the substrate through liquid, and a liquid supply system. The liquid supply system includes a supply flow path, and supplies the liquid via the supply flow path during the exposure. The liquid supply system also includes a device by which the supply flow path is connected with a vacuum system to prevent liquid in the supply flow path from leaking. | 05-21-2009 |
20090135387 | Laser Irradiation - The invention relates to an optical arrangement for transmitting a structure from a mask ( | 05-28-2009 |
20090135388 | MOVABLE-BODY APPARATUS, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A moving grating is arranged on a side of a wafer stage, a light source irradiates a light to the moving grating, diffracted lights generated from the moving grating are interfered by fixed scales and an index scale of which positional relation with the light source is fixed, and a detection instrument detects the interfered light. In this case, since the moving grating is arranged on a side of the wafer stage, upsizing of the entire wafer stage can be suppressed. Further, since interference occurs between a plurality of diffracted lights (e.g., the ±1st-order diffracted light) passing extremely close optical paths, influence caused by a fluctuation of ambient atmosphere becomes less in comparison to conventional interferometers, and thus, a high-precision measurement of positional information of the movable body is possible. | 05-28-2009 |
20090147226 | Inner Surface Exposure Apparatus and Inner Surface Exposure Method Technical Field - An apparatus and a method for exposing a photosensitive material deposited on the inner surface of a tube such as a circular or polygonal tube to light to form a predetermined exposed pattern are provided. The apparatus includes: a guide rod that is inserted into the inner space of an exposure object and emits an exposure light beam toward the inner side of the exposure object; and a stage for changing the relative positions of the exposure object and the guide rod and/or the relative angle between the exposure object and the guide rod. After the irradiation spot of the exposure light beam is brought into focus and/or is adjusted to an exposure starting point, the exposure light beam is projected onto a predetermined position on the exposure object to form a predetermined exposed pattern of a photosensitive material deposited on the inner surface of the exposure object. | 06-11-2009 |
20090147227 | Lithographic apparatus and device manufacturing method - A liquid handling system is disclosed in which an extractor to contain liquid in a space between the projection system of a lithographic apparatus and a substrate has, in plan, a shape with a single corner. The extractor is provided in a rotatable part of a liquid handling system. The rotatable part is rotated under the control of a controller. | 06-11-2009 |
20090147228 | Exposure apparatus, manufacturing method thereof, and maintenance method of exposure apparatus - A projection optical system PL is used to project an image of a pattern. A manufacturing method of an exposure apparatus includes: a positioning step of positioning the projection optical system at a predetermined position; and a support step of supporting the positioned projection optical system. The positioning step includes a step of moving the projection optical system upward from below at the time of positioning. | 06-11-2009 |
20090153817 | Correction method and exposure apparatus | 06-18-2009 |
20090153818 | METHOD AND APPARATUS FOR EXTRACTING DOSE AND FOCUS FROM CRITICAL DIMENSION DATA - A method for monitoring a photolithography system includes defining a model of the photolithography system for modeling top and bottom critical dimension data associated with features formed by the photolithography system as a function of dose and focus. A library of model inversions is generated for different combinations of top and bottom critical dimension values. Each entry in the library specifies a dose value and a focus value associated with a particular combination of top and bottom critical dimension values. A top critical dimension measurement and a bottom critical dimension measurement of a feature formed by the photolithography system using a commanded dose parameter and a commanded focus parameter are received. The library is accessed using the top and bottom critical dimension measurements to generate values for a received dose parameter and the received focus parameter. The received dose and focus parameters are compared to the commanded dose and focus parameters to characterize the photolithography system. | 06-18-2009 |
20090153819 | Exposure apparatus, exposure method and device manufacturing method - An exposure apparatus restricts reduction in throughput. The exposure apparatus controls movement such that, (1) when a substrate is moving in one direction, a first pattern is moved in a specified direction to expose a first shot region using a first exposure light, then movement of the substrate in the one direction continues while moving the second pattern in the specified direction to expose a second shot region, and (2) then the respective movement directions of the substrate and the second pattern are reversed to expose a third shot region using the second exposure light, then movement of the substrate in the reverse direction continues while moving the first pattern in a direction that is the reverse of the specified direction to expose a fourth shot region using the first exposure light. | 06-18-2009 |
20090153820 | Exposure apparatus and device manufacturing method - An exposure apparatus exposes a substrate by forming a liquid immersion region on the substrate, and projecting a pattern image onto the substrate via a projection optical system and a liquid that forms the liquid immersion region. The exposure apparatus includes a projection optical system having a plurality of optical elements, by which a pattern image is projected onto a substrate via a liquid to expose the substrate and a liquid recovery system that recovers the liquid along with a gas and has a separator that separates the recovered liquid and the recovered gas. | 06-18-2009 |
20090153821 | LITHOGRAPHIC APPARATUS WITH ADJUSTED EXPOSURE SLIT SHAPE ENABLING REDUCTION OF FOCUS ERRORS DUE TO SUBSTRATE TOPOLOGY AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes an illumination system to condition a radiation beam; a patterning device support to support a patterning device, the patterning device capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate, and a projection system to project the patterned radiation beam in a scanning exposure along a scanning direction onto a target portion of the substrate. The illumination system is configured to form in a plane of the patterning device a slit shaped image. The slit shaped image has a curved shape with a slit curvature in the scanning direction, with a length in the scanning direction and a width perpendicular to the scanning direction. The slit shaped image is configured to create a curved pattern image portion of the patterned radiation beam in an image plane of the projection system. | 06-18-2009 |
20090153822 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - Two X encoder heads (X heads) and one Y head are mounted on one wafer stage, and an X scale and a Y scale corresponding to these heads are arranged on a surface facing the wafer stage so that the scales connect the exposure area and the alignment area. The wafer stage is made to move back and forth between the exposure area and the alignment area along a path where the X scale and the Y scale are set, while performing position measurement using three encoder heads. In this case, a switching process between XZ interferometer will not be necessary. | 06-18-2009 |
20090153823 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic projection apparatus has a liquid confinement structure configured to at least partly confine liquid to a space between a projection system and a substrate, the confinement structure having a buffer surface, when in use, positioned in close proximity to a plane substantially comprising the upper surface of the substrate and of a substrate table holding the substrate, to define a passage having a flow resistance. A recess is provided in the buffer surface, the recess, when in use, being normally full of immersion liquid to enable rapid filling of a gap between the substrate and substrate table as the gap moves under the buffer surface. The recess may be annular or radial and a plurality of recesses may be provided. | 06-18-2009 |
20090161085 | LITHOGRAPHIC APPARATUS HAVING ACOUSTIC RESONATOR - A lithographic apparatus may be provided with an acoustic resonator to dampen an acoustic vibration in the lithographic apparatus. The acoustic resonator may include a Helmholtz resonator. The helmholz resonator may be provided with an active element to provide active damping and/or altering a spring characteristic of the mass spring assembly formed by the resonator. The resonator may be provided at a slit in a shield between the patterning device stage and the projection system to suppress transfer of acoustical vibrations, caused by e.g. a movement of the patterning device stage, to the projection system. | 06-25-2009 |
20090161086 | MOVABLE BODY SYSTEM, PATTERN FORMATION APPARATUS, EXPOSURE APPARATUS AND MEASUREMENT DEVICE, AND DEVICE MANUFACTURING METHOD - A movable body system is equipped with a stage having a stage main section which moves along an XY plane and a stage which is finely movable in a direction (a Z-axis direction) orthogonal to the XY plane and a tilt direction with respect to the XY plane, and a measurement device which measures positional information of the stage within the XY plane. The measurement device has a plurality of encoder heads arranged on the table, and optical fibers whose end sections are arranged facing each head at the stage main section and the optical axes at the end sections are substantially parallel to the Z-axis direction, and the device measures positional information of the stage, based on an output of a head facing a grating section placed substantially parallel to the XY plane. And, air transmission of a signal is performed between each of the heads and the end section of the optical fibers. | 06-25-2009 |
20090168035 | Exposure method and exposure apparatus for photosensitive film - An exposure apparatus for a photosensitive film includes: light-emitting diodes for generating rays to expose a photosensitive film; a light shield positioned between the light-emitting diodes to prevent noise; a stage for receiving a substrate having the photosensitive film thereon; and a parallelizer positioned between the light-emitting diodes and the stage for redirecting the rays from the light-emitting diodes to be perpendicularly incident upon the photosensitive film. | 07-02-2009 |
20090168036 | EXPOSURE APPARATUS, STRUCTURE, METHOD FOR SETTING UP APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure apparatus of the present invention is configured to expose a pattern on an original onto a wafer via a projection lens system | 07-02-2009 |
20090174873 | EXPOSURE APPARATUS, EXPOSURE METHOD AND DEVICE MANUFACTURING METHOD - An exposure apparatus that exposes a substrate is provided, the exposure apparatus comprising a first exposure system that drives a movable component which holds the substrate, and that, using patterned first exposure light, exposes a first shot area where a chip which is used to create a device can be formed on the substrate; and a second exposure system that comprises a holding component which is different from the movable component and is able to hold the substrate, and that, while relative movement between the substrate and patterned second exposure light, exposes a second shot area where the chip is not to be formed on the substrate using the second exposure light. | 07-09-2009 |
20090185151 | SUBSTRATE PROCESSING SYSTEM AND SUBSTRATE TRANSFER METHOD - A substrate processing system ( | 07-23-2009 |
20090190109 | SUBSTRATE TRANSFER APPARTUS - A substrate transfer apparatus that is designo provide an inclined transfer function that improves liquid saving efficiency of a process solution (developing solution) during the transfer of the substrate. The substrate transfer apparatus includes a first transfer unit for transferring a substrate, a second transfer unit spaced apart from an end of the first transfer unit, a third transfer unit disposed between the first and second transfer units and providing an inclined transfer that is capable of saving a developing solution adhered to the substrate during transfer of the substrate, and a transfer controller for controlling an inclined transfer angle and a connection state of the third transfer unit. | 07-30-2009 |
20090190110 | MOVABLE BODY DRIVE SYSTEM, PATTERN FORMATION APPARATUS, EXPOSURE APPARATUS AND EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A stage device is equipped with a first scale which is placed with a Y-axis direction serving as its longitudinal direction and in which a first grating whose periodic direction is in an X-axis direction is formed and a second scale which is placed with the X-axis direction serving as its longitudinal direction and in which a second grating whose periodic direction is orthogonal to the periodic direction of the first grating is formed, the first scale and the second scale being placed on a plane which a wafer stage faces. Further, on the upper surface of the wafer stage, a plurality of X heads placed at different positions in the X-axis direction and a plurality of Y heads placed at different positions in the Y-axis direction are arranged. An encoder system that has these heads measures positional information of the stage within an XY plane, based on an output of the X head facing the first scale and an output of the Y head facing the second scale. | 07-30-2009 |
20090190111 | Lithographic Apparatus and Device Manufacturing Method Incorporating a Pressure Shield - A lithographic apparatus is disclosed that has a movable article support configured to hold and move an article, a radiation control system configured to control a beam of radiation to be targeted onto the article, the article support, or both, the article to be moved relatively to the radiation control system by the movable article support for measurement, exposure, or both purposes, and a pressure shield that is mechanically uncoupled from the radiation control system to shield against pressure waves induced by the article support so as to help prevent displacement of the radiation control system caused by the pressure waves. | 07-30-2009 |
20090190112 | Exposure apparatus, and device manufacturing method - A lithographic projection apparatus includes an illumination system that conditions a radiation beam, a support structure that holds a patterning device, the patterning device being capable of imparting the radiation beam with a pattern. a substrate table that holds a substrate, and a projection system that projects the patterned radiation beam onto a target portion of the substrate. In addition, a liquid supply system provides a liquid to a space between the projection system and the substrate, the liquid supply system having a member. A liquid seal device forms a liquid seal between the member and the substrate. | 07-30-2009 |
20090190113 | Projection exposure apparatus, projection exposure method, and method for producing device - A projection exposure apparatus transfers a pattern formed on a mask onto a substrate via a projection optical system. The projection exposure apparatus includes electricity removal units which removes electricity from a liquid supplied to a space between the projection optical system and the surface of a substrate. This makes it possible to prevent destruction of the circuit pattern or malfunction of the device which would otherwise caused by charging of the liquid. | 07-30-2009 |
20090190114 | Resist pattern forming method, semiconductor apparatus using said method, and exposure apparatus thereof - In immersion exposure, a resist pattern forming method suppressing resist pattern defects comprises mounting a substrate formed a resist film thereon and a reticle formed a pattern thereon onto an exposure apparatus, supplying a first chemical solution onto the resist film to selectively form a first liquid film in a local area on the resist film and draining the solution, the first liquid film having a flow and being formed between the resist film and a projection optical system, transferring the pattern of the reticle to the resist film through the first liquid film to form a latent image, supplying a second chemical solution onto the resist film to clean the resist film, heating the resist film, and developing the resist film to form a resist pattern from the resist film. | 07-30-2009 |
20090190115 | METHOD FOR EXPOSING A SUBSTRATE AND LITHOGRAPHIC PROJECTION APPARATUS - A method for exposing a resist layer on a substrate to an image of a pattern on a mask is disclosed whereby, after starting exposure and before completing exposure, a controlled amount of contrast loss is introduced by a controller in the image at the resist layer by changing during exposure the position of the substrate holder. The contrast loss affects the pitch dependency of the resolution of a lithographic projection apparatus, and its control is used to match pitch dependency of resolution between different lithographic projection apparatus. | 07-30-2009 |
20090190116 | METHOD OF MANUFACTURING A MINIATURIZED DEVICE - A lithographic method of manufacturing a miniaturized device using a projection exposure system involves illuminating the object plane of an imaging optics of the projection exposure system with measuring light; detecting, for each of a plurality of locations on an image plane of the imaging optics, an angular distribution of an intensity of the measuring light traversing the image plane at the respective location; adjusting a telecentricity of the projection exposure system based on a selected patterning structure to be imaged and on the plurality of the detected angular distributions; disposing the selected pattern structure to be imaged in a region of the object plane of the imaging optics; disposing a substrate carrying a resist in a region of the image plane of the imaging optics and exposing the resist with imaging light using the projection exposure system with the adjusted telecentricity; and developing the exposed resist and processing the substrate with the developed resist. | 07-30-2009 |
20090195763 | LITHOGRAPHIC APPARATUS - A lithographic apparatus is arranged to transfer a pattern from a patterning device onto a substrate. The lithographic apparatus includes an acoustical sensor to measure a first acoustic vibration in a sensor measurement area in the lithographic apparatus. An actuator is provided to generate a second acoustic vibration in at least an area of the lithographic apparatus. Further, a control device is provided having a sensor input to receive a sensor signal of the acoustical sensor and an actuator output to provide an actuator drive signal to the actuator. The control device is arranged to drive the actuator so as to let the second acoustic vibration at least partly compensate in the area the first acoustic vibration. | 08-06-2009 |
20090195764 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus comprises a measurement system which measures an aberration of a projection optical system. The measurement system includes a first pattern positioned on an original stage, a second pattern positioned on a substrate stage, a third pattern positioned on the original stage to align the first and second patterns, a fourth pattern positioned on the substrate stage to align the first and second patterns, a detection system which detects a relative position between the third pattern and the fourth pattern, and a controller which controls at least one of the stages to align the first pattern positioned at a position spaced apart from the third pattern by a predetermined distance, and the second pattern positioned at a position spaced apart from the fourth pattern by a predetermined distance, based on the relative position between the third pattern and the fourth pattern detected by the detection system. | 08-06-2009 |
20090201474 | Semiconductor Devices and Methods of Manufacture Thereof - Methods of manufacturing semiconductor devices, structures thereof, methods of fabricating lithography masks, and lithography masks and systems are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes providing a workpiece, the workpiece comprising a first thickness in a first region and at least one second thickness in at least one second region. A layer of photosensitive material is disposed over the workpiece, and a lithography mask is provided. The lithography mask has a first phase shift in a first region and at least one second phase shift in at least one second region. The layer of photosensitive material is exposed to energy through the lithography mask, and the layer of photosensitive material is developed, leaving portions of the workpiece exposed. The exposed portions of the workpiece are affected using the layer of photosensitive material as a mask. | 08-13-2009 |
20090201475 | STEPPER SYSTEM FOR ULTRA-HIGH RESOLUTION PHOTOLITHOGRAPHY USING PHOTOLITHOGRAPHIC MASK EXHIBITING ENHANCED LIGHT TRANSMISSION DUE TO UTILIZING SUB-WAVELENGTH APERTURE ARRAYS - A stepper system for ultra-high resolution nano-lithography employs a photolithographic mask which includes a layer of an electrically conductive optically opaque material in which periodic arrays of sub-wavelength apertures are formed. The plasmonic excitation in the photolithographic mask exposed to the light of the wavelength in the range of 197 nm-248 nm, produces high resolution far-field radiation patterns of sufficient intensity to expose a photoresist on a wafer. The stepper system demonstrates the resiliency to the mask defects and ability to imprint coherent clear features of nano dimensions (45 nm-500 nm) and various shapes on the wafers for integrated circuits design. The stepper system may be adjusted to image the plane of the highest plasmonic field exiting the mask. | 08-13-2009 |
20090201476 | LITHOGRAPHIC PROJECTION APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus is disclosed where at least part of a space between a projection system of the apparatus and a substrate is filled with a liquid by a liquid supply system. The projection system is separated into two separate physical parts. With substantially no direct connection between the two parts of the projection system, vibrations induced in a first of the two parts by coupling of forces through the liquid filling the space when the substrate moves relative to the liquid supply system affects substantially only the first part of the projection system and not the other second part. | 08-13-2009 |
20090201477 | MOVABLE SUPPORT, POSITION CONTROL SYSTEM, LITHOGRAPHIC APPARATUS AND METHOD OF CONTROLLING A POSITION OF AN EXCHANGEABLE OBJECT - A movable support is configured to hold an exchangeable object. The support includes a movable structure movably arranged with respect to a reference object, an object holder movably arranged with respect to the movable structure and configured to hold the exchangeable object, an actuator configured to move the movable structure with respect to the reference object, and an ultra short stroke actuator configured to move the object holder with respect to the movable structure, wherein a stiffness of the ultra short stroke actuator is substantially larger than a stiffness of the at least one actuator. | 08-13-2009 |
20090207393 | Damping Arrangement, Active Damping System, Lithographic Apparatus, And Projection Assembly - An active damping system assembly is configured to dampen a vibration of at least part of a structure. The assembly includes a plurality of active dampers each including a sensor configured to measure a position quantity of an interface mass mounted on the structure; and an actuator configured to exert a force on the interface mass in dependency of a signal provided by the sensor, wherein each of the plurality of active damping systems is connected to the interface mass. The structure may be a projection system of a lithographic apparatus. | 08-20-2009 |
20090207394 | Stage device, exposure apparatus and method of producing device - A stage device is provided with a mobile body retaining a mask illuminated with exposure light and moving along a predetermined plane, and a flow control section moving substantially together with the movement of the mobile body so as to control the flow of gas in proximity to the mask thereby. | 08-20-2009 |
20090213345 | Microlithography exposure apparatus using polarized light and microlithography projection system having concave primary and secondary mirrors - The present invention relates to a microlithography projection exposure apparatus for wavelengths ≦100 nm, in particular for EUV lithography using wavelengths <50 nm, preferably <20 nm having an illumination system which illuminates a field in an object plane using light of a defined polarization state and an objective which projects the field in the object plane into an image plane, the polarized light passing through the objective from the object plane to the image plane. | 08-27-2009 |
20090213346 | IMMERSION LITHOGRAPHY USING HAFNIUM-BASED NANOPARTICLES - Method, apparatus, and composition of matter suited for use with, for example, immersion lithography. The composition of matter includes hafnium dioxide nanoparticles having diameters less than or equal to about 15 nanometers. The apparatus includes the composition of matter, a light source, a platform for supporting a work piece, and a lens element. The method includes providing a light source, providing a lens element between the light source and a work piece, providing the composition of matter between the lens element and the work piece, and exposing the work piece to light provided by the light source by passing light from the light source through the lens element and the composition of matter to the work piece. | 08-27-2009 |
20090213347 | Article Loading/Unloading Method and Article Loading/Unloading Device, Exposure Method and Exposure Apparatus, and Method of Manufacturing Device - A loading/unloading apparatus and method to perform quickly exchanging article to be loaded on a placing table. A first step determines a position of a placing table where articles to be unloaded is loaded to a second position that is different from a first position within said predetermined plane, a second step unloads the articles exist on the lading table that is positioned at said second position after said first step is performed, a third step determines a position of said placing table at said first position while said vertically moving member is positioned at a position lower than a lower surface of a loading member holding a next article to be loaded and waiting over said first position and higher than the placing surface of the placing table after said second step is performed, a fourth step allows a loading member holding the next article to be loaded onto the placing table to wait over the first position before the placing table is positioned at the first position, and a fifth step loading the next article onto the placing table positioned at the first position after the third step is performed. | 08-27-2009 |
20090219496 | Methods of Double Patterning, Photo Sensitive Layer Stack for Double Patterning and System for Double Patterning - Double patterning a photo sensitive layer stack, is disclosed including providing a substrate being coated with a first and a second photo resist layer, exposing both photo resist layers by employing lithographic projection steps, wherein a second lithographic projection step illuminates a latent image with a focal depth at least partially covering the second photo resist layer. | 09-03-2009 |
20090231561 | Measuring method, stage apparatus, and exposure apparatus - An exposure apparatus can mitigate the impact of fluctuations in the refractive index of ambient gas, and improve, for example, stage positioning accuracy. An exposure apparatus radiates an exposure illumination light to a wafer on a wafer stage through a projection optical system, and forms a prescribed pattern on the wafer, and comprises: a scale, which is provided to the wafer stage; a plurality of X heads, which detect information related to the position of the scale; a measurement frame that integrally supports the plurality of X heads and has a coefficient of linear thermal expansion that is smaller than that of the main body of the wafer stage (portions excepting a plate wherein the scale is formed); and a control apparatus that derives information related to the displacement of the wafer stage based on the detection results of the plurality of X heads. | 09-17-2009 |
20090231562 | EFFECTIVE LIGHT SOURCE SHAPE DATABASE GENERATION METHOD, OPTICAL IMAGE CALCULATION METHOD, RECORDING MEDIUM, EXPOSURE METHOD, AND DEVICE FABRICATION METHOD - The present invention provides a method of generating a database of effective light source shapes including a generation step of generating an initial database representing an effective light source shapes corresponding to a plurality of conditions settable for an illumination optical system, a measurement step of setting an arbitrary condition for the illumination optical system, and measuring an effective light source shape, a calculation step of calculating a difference amount between an effective light source shape when each of the plurality of conditions is set for the illumination optical system and the effective light source shape included in the initial database, and a correction step of correcting the effective light source shapes included in the initial database using the difference amounts and compiling the corrected effective light source shapes into an actual database. | 09-17-2009 |
20090231563 | Method and Lithographic Apparatus for Measuring and Acquiring Height Data Relating to a Substrate Surface - A lithographic apparatus includes a level sensor for use in positioning a target portion of the substrate with respect to a focal plane of the projection system, a pair of actuators, configured to move a substrate table of the lithographic apparatus, and a controller for moving the substrate relative to the level sensor by controlling the actuators. The controller combines motions of the first and second actuators to produce a combined movement having a speed higher than a maximum speed of at least one of the actuators individually. | 09-17-2009 |
20090231564 | Stage drive method and stage unit, exposure apparatus, and device manufacturing method - A lithographic projection apparatus includes a substrate table to hold a substrate, a projection system to project a patterned beam of radiation onto the substrate and a liquid confinement structure to confine a liquid in a space between the projection system and the substrate, the substrate, the substrate table, or both, to form a part of a boundary of the space. In addition, a closing plate forms a part of a boundary of the space in place of the substrate, the substrate table, or both, when moved without substantially disturbing the liquid, the liquid confinement structure, or both. | 09-17-2009 |
20090237633 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus which exposes a substrate via a liquid, comprises: a projection optical system configured to project a pattern of a reticle onto the substrate; a substrate stage configured to hold the substrate and move; a top plate which is arranged on the substrate stage and in which an opening is formed; and a measurement member which is arranged in the opening formed in the top plate arranged on the substrate stage, wherein a gap is formed between the top plate and the measurement member in a plane perpendicular to an optical axis of the projection optical system, and wherein the measurement member is formed of one of a regular N-sided polygon (N>4) and a circle in the plane. | 09-24-2009 |
20090244504 | PROJECTION EXPOSURE METHOD - A projection exposure method that projects the shape of a hole onto a wafer by projecting a diffracted light, which is produced by applying light to a mask having a pattern for forming a hole pattern, onto the wafer through a projection optical system for exposure, wherein, in a plane substantially perpendicular to an optical axis, the light applied to the mask has a first intensity distribution in which the intensity is higher in the vicinity of eight apexes of an octagon centered at the optical axis than in other areas, the mask has a plurality of first opening patterns are arranged in a rectangular lattice configuration having sides parallel to diagonals of the octagon passing through the optical axis, and a plurality of second opening patterns are arranged in a face-centered rectangular lattice configuration having sides parallel to diagonals of the octagon passing through the optical axis. | 10-01-2009 |
20090251674 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic projection apparatus, a liquid supply system maintains liquid in a space between a projection system of the lithographic projection apparatus and a substrate. A sensor positioned on a substrate table, which holds the substrate, is configured to be exposed to radiation when immersed in liquid (e.g., under the same conditions as the substrate will be exposed to radiation). By having a surface of an absorption element of the sensor, that is to be in contact with liquid, formed of no more than one metal type, long life of the sensor may be obtained. | 10-08-2009 |
20090257033 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND METHOD FOR PRODUCING DEVICE - A method for exposing a substrate includes arranging, in a direction, pattern areas to projection systems respectively arranged at an interval and each having a magnifying magnification, the pattern areas having area widths each smaller than the interval and greater than a width obtained by dividing an exposure width of the projection system by the magnifying magnification; and successively transferring onto the substrate an image, projected by an associated projection system, of a first pattern provided in a first partial pattern area in each pattern area and an image, projected by the associated projection system, of a second pattern provided in a second partial pattern area in each pattern area and having at least a partial area different from the first partial pattern area in the direction in each pattern area. The occurrence of any stitch error is suppressed and the transfer accuracy is improved. | 10-15-2009 |
20090257034 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic apparatus, a control system is provided to automatically reduce throughput in the event that lens-heating aberrations exceed a certain threshold. The determination of whether lens-heating aberrations will exceed the threshold may be based upon a prediction, e.g. using a lens-heating model, or on measurements taken from a previously exposed substrate. Reduction of throughput of the device manufacture may be effected by reducing beam power or the duty cycle of the apparatus. In a particular embodiment, the time taken for substrate movement between exposure portions is increased. | 10-15-2009 |
20090257035 | EXPOSURE APPARATUS, MEASUREMENT METHOD, STABILIZATION METHOD, AND DEVICE FABRICATION METHOD - The present invention provides an exposure apparatus including a projection optical system configured to project a reticle pattern onto a wafer, a selector configured to select a dummy wafer to be placed near an image plane of the projection optical system, from a plurality of dummy wafers having the same shape as that of the wafer and different reflectance with each other, a transfer unit configured to place the dummy wafer selected by the selector near the image plane of the projection optical system, and a controller configured to perform control such that dummy exposure is performed by irradiating the dummy wafer, which is placed near the image plane of the projection optical system by the transfer unit, with light via the projection optical system. | 10-15-2009 |
20090257036 | ALIGNMENT APPARATUS FOR ALIGNING MULTI-LAYER STRUCTURES - An exemplary alignment apparatus can align a first layer with a second layer. The first layer has a first alignment pattern. The second layer has a second alignment pattern. The alignment apparatus includes a supporting device for supporting the first layer and the second layer, a light pervious reference plate, and a viewing and adjusting mechanism. The light pervious reference plate has a first reference pattern spatially corresponding to the first alignment pattern on the first layer, and a second reference pattern spatially corresponding to the second alignment pattern on the second layer. The viewing and adjusting mechanism is adapted for assisting a human operator to align the first reference pattern with the first alignment pattern and the second reference pattern with the second alignment pattern. | 10-15-2009 |
20090262319 | MASKLESS EXPOSURE METHOD - A maskless exposure method of drawing a circuit pattern includes: moving a substrate with respect to a projection optical system; scanning, by the projection optical system, the substrate in a first direction; shifting a scanning region in a second direction; scanning the substrate in the first direction so that an overlapping part is formed. A plurality of marks different from the circuit pattern are exposed in a vicinity of the overlapping part. The plurality of marks are a set of marks at least including two marks disposed on one side of the overlapping part and two marks disposed on another side of the overlapping part. Deviations between the pair of the scanning regions, an inclination of exposing light, and a yawing angle of a stage are analyzed by measuring deviations of distances among the plurality of marks. Calibration data are obtained from a result of the analyzing. | 10-22-2009 |
20090268178 | EXPOSURE APPARATUS, MOVABLE BODY DRIVE SYSTEM, PATTERN FORMATION APPARATUS, AND EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - While a wafer stage moves linearly in a Y-axis direction, surface position information of a wafer surface at a plurality of detection points set at a predetermined interval in an X-axis direction is detected by a multipoint AF system, and by a plurality of alignment systems arranged in a line along the X-axis direction, marks at different positions on the wafer are each detected, and a part of a chipped shot of the wafer is exposed by a periphery edge exposure system. This allows throughput to be improved when compared with the case when detection operation of the marks, detection operation of the surface position information (focus information), and periphery edge exposure operation are performed independently. | 10-29-2009 |
20090268179 | METHOD OF ALIGNING AN EXPOSURE APPARATUS, METHOD OF EXPOSING A PHOTORESIST FILM USING THE SAME AND EXPOSURE APPARATUS FOR PERFORMING THE METHOD OF EXPOSING A PHOTORESIST FILM - An origin of a reference coordinate system is assigned to one of a plurality of center points, and center point coordinates according to the reference coordinate system are assigned to remaining center points, so that reference marks successively correspond to center points of a plurality of microscopes fixed to a base. Beam position detection marks disposed between the reference marks with exposure points of exposure heads fixed to the base are crossed to assign beam coordinates according to the reference coordinate system to the exposure points. Thus, alignment may be easily and accurately performed, and is effective for increasingly larger apparatuses. | 10-29-2009 |
20090268180 | LITHOGRAPHIC APPARATUS AND A VACUUM CHAMBER - A lithographic apparatus includes an illumination system configured to condition a radiation beam and a support constructed to support a patterning device. The patterning device is configured to impart the radiation beam with a pattern in its cross-section to form a patterned radiation beam. A substrate table is constructed to hold a substrate, and a projection system is configured to project the patterned radiation beam onto a target portion of the substrate. The projection system includes a vacuum chamber and a controller configured to control an actuator of an optical device arranged in the vacuum chamber. The vacuum chamber includes a hermetically sealed housing in which the controller is accommodated. The housing is provided with an electrical connection configured to electrically connect the controller to the optical device, and is connected to an exterior wall of the vacuum chamber via a fluid cooling channel configured to cool the controller. | 10-29-2009 |
20090268181 | MEASUREMENT METHOD AND EXPOSURE APPARATUS - A method of measuring an optical characteristic of an optical system using a measurement apparatus, comprises determining a position of each of object points by arranging, on a side of the object plane, an object point measurement device array, and sequentially inserting the object point measurement devices in an optical path, determining a position of each of image points by arranging, on a side of the image plane, an image point measurement device array, and sequentially inserting the image point measurement devices in the optical path, calculating an error attributed to the measurement apparatus based on the positions of object points and the positions of the image points, obtaining a measured value by measurement to obtain information representing the optical characteristic of the optical system using the measurement apparatus, and correcting the measured value based on the error. | 10-29-2009 |
20090268182 | METHOD OF MEASURING A LITHOGRAPHIC PROJECTION APPARATUS - A method of measuring a lithographic projection apparatus is described. The method includes imaging a verification mark of a patterning device onto a radiation-sensitive layer held by a substrate table of a lithographic apparatus, wherein the verification mark includes at least a first, a second and a third verification structure that have a mutually different sensitivity profile for a dose setting, a focus setting and a contrast setting. | 10-29-2009 |
20090268183 | CONDUCTIVE PATTERN FORMING APPARATUS - Apparatus for forming a conductive pattern capable of stably forming a wiring pattern of a desired thickness by providing at least one thickness detection means for detecting the thickness of a formed pattern and capable of adjusting at least one of parameters such as a developing bias voltage or a concentration of a developer that contributes to the thickness of the pattern based on the information obtained from the thickness detection means. | 10-29-2009 |
20090273767 | MOVABLE BODY APPARATUS, EXPOSURE APPARATUS AND PATTERN FORMATION APPARATUS, AND DEVICE MANUFACTURING METHOD - A movable body apparatus is equipped with a Y measuring system equipped with an encoder and an interferometer that measure the position of a stage in one axis (Y-axis) direction. The interferometer irradiates a reflection surface arranged on the stage with a measurement light close to a measurement light of the encoder, and receives its reflected light. In this case, the encoder and the interferometer commonly use an optical member fixed to the stage. Accordingly, the Y interferometer and the Y encoder have substantially the equal measurement axis. | 11-05-2009 |
20090284721 | RETICLE SYSTEM FOR MANUFACTURING INTEGRATED CIRCUIT SYSTEMS - A reticle system that includes: providing a reticle system; and assigning two or more of an image pattern onto the reticle system to form one or more layers of an integrated circuit system by grouping and pairing each of the image pattern onto the reticle system according to a multi-layer reticle grouping/pairing flow. | 11-19-2009 |
20090284722 | METHOD FOR MONITORING FOCUS ON AN INTEGRATED WAFER - A method and apparatus are provided for improving the focusing of a substrate such as a wafer during the photolithography imaging procedure of a semiconductor manufacturing process. The invention is particularly useful for step-and-scan system and the CD of two features in each exposure field are measured in fields exposed at varying focus to form at least two Bossung curves. Exposure focus instructions are calculated based on the intersection point of the curves and the wafer is then scanned and imaged based on the calculated exposure focus instructions. In another aspect of the invention, when multiple wafers are being processed operational variances may cause a drift in the focus. The focus drift can be easily corrected by measuring the critical dimension of each of the features and comparing the difference to determine if any focus offset is needed to return the focus to the original calculated focus value. | 11-19-2009 |
20090284723 | STAGE DEVICE, PATTERN FORMATION APPARATUS, EXPOSURE APPARATUS, STAGE DRIVE METHOD, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A stage device is equipped with: a wafer stage that has a coarse movement stage that moves along an XY plane and a table that is finely movable in at least a direction parallel to the XY plane; and an encoder system. A plurality of encoder heads are arranged on the coarse movement stage. Each of the heads irradiates a first grating section placed parallel to the XY plane and a second grating section arranged on the table with measurement beams, respectively, and receives diffracted lights from each of the first and second grating sections. The encoder system measures positional information of the table (wafer stage) within the XY plane based on an output of at least one encoder head that faces the first and second grating sections. | 11-19-2009 |
20090284724 | MOVABLE BODY SYSTEM, MOVABLE BODY DRIVE METHOD, PATTERN FORMATION APPARATUS, PATTERN FORMATION METHOD, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A plurality of heads configuring an encoder system is arranged on a wafer table, and positional information of a wafer table in the XY plane is measured, based on an output of a head opposed to a scale plate (diffraction grating). And, a relative position (including relative attitude and rotation) of each head with the wafer table is measured herein by a measurement system arranged inside the head. Accordingly, by correcting the positional information based on the information of the relative position which has been measured, a highly precise measurement of the positional information of the wafer table becomes possible even in the case when the position (attitude, rotation) of the head changes with the movement of the wafer table. | 11-19-2009 |
20090290135 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus includes a support structure configured to hold a patterning device, the patterning device configured to pattern a beam of radiation according to a desired pattern; a projection system configured to project the patterned beam onto a target portion of a substrate; a substrate table configured to hold the substrate, the substrate table including a support surface configured to support an intermediary plate between the projection system and at least one of the substrate and an object positioned on the substrate table and not in contact with the at least one of the substrate and the object; and a liquid supply system configured to provide a liquid, through which the beam is to be projected, in a space between the projection system and the at least one of the substrate and the object. | 11-26-2009 |
20090296058 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A detection method for detecting a property of an extended pattern formed by at least one line generally extending in a first direction. The extended pattern is formed on a substrate or on a substrate table and may extend, for example, over a length of at least 50× the width of the line. The extended pattern is focus sensitive. The detection method includes moving the substrate table in a first direction and measuring along that first direction a property of the extended pattern. The property can be a result of a physical property of the extended pattern in a second direction perpendicular to the first direction. In a next step a calibration of the substrate table position can be derived from the measured position of the extended pattern. | 12-03-2009 |
20090310107 | DEFORMING MECHANISM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A deforming mechanism for deforming a transmissive optical element comprises a rotation member configured to hold the optical element and to rotate around an axis parallel to a tangential line of a circumference of the optical element at a portion where the rotation member holds the optical element, so as to deform the optical element by the rotation, a torque generating unit configured to generate a torque to rotate the rotation member around the axis, a holding base, and an elastic member connecting the holding base to the torque generating unit. | 12-17-2009 |
20090310108 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - A reticle stage, a substrate stage and a measurement device are controlled such that first measurement of the position of a surface of a substrate, positioning of the surface at an image plane of the projection optical system based on the first measurement, and an exposure are performed during a constant-speed scanning with respect to each shot area of a first group including a row of shot areas, second measurement of the position of the surface is performed during a constant-speed scanning with respect to a shot area belonging to a second group which is adjacent to the first group and includes a row of shot areas, and the constant-speed scanning and the exposure are started with respect to a shot area subjected to the second measurement, after positioning of the surface at the image plane is performed during acceleration of the substrate stage based on the second measurement. | 12-17-2009 |
20090310109 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - When a substrate stage is located in a first area, a first measurement device measures the same portion of the substrate at the plural measurement points both before and after the stage is horizontally driven. A controller calculates a first difference of the stage in the vertical direction in the first area accompanying driving of the stage horizontally, based on a first measurement result, calculates a value representing a surface shape of the substrate by subtracting the first difference from the first measurement result, calculates a second difference of the stage in the vertical direction in the second area accompanying driving of the stage horizontally by subtracting the value from a value representing a vertical position of the substrate when the stage is located in the second area, and controls a vertical position of the stage in the second area based on the second difference. | 12-17-2009 |
20090310110 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus is configured to project a pattern of an original illuminated by an illumination system onto a substrate by a projection optical system to expose the substrate, and comprises a light-shielding member configured to define a position at which light falls on an image plane of the projection optical system, an illuminance sensor configured to measure an illuminance on the image plane, and a controller configured to detect a position, on the image plane, of the illuminance sensor, wherein the controller is configured to detect the position of the illuminance sensor based on the output from the illuminance sensor obtained while moving the light-shielding member so that a position at which light falls on the image plane moves along the image plane. | 12-17-2009 |
20090316124 | Lithographic Apparatus, Device Manufacturing Method and Device Manufactured Thereby - The X, Y and Rz positions of a mask stage are measured using two optical encoder-reading heads measuring displacements of respective grid gratings mounted on the mask stage. The grid gratings are preferably provided on cut-away portions of the mask table so as to be coplanar with the pattern on the mask itself. Measurements of the table position in the other degrees of freedom can be measured with capacitive or optical height sensors. | 12-24-2009 |
20090316125 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a phase adjuster to adjust a phase of an optical wave traversing an optical element of the phase adjuster during exposure of a pattern on a substrate. In an embodiment, the optical element is a heat controllable optical element in a projection system of the lithographic apparatus. In use, the pattern is illuminated with an illumination mode including an off-axis radiation beam. This beam is diffracted into a number of first-order diffracted beams, one associated with a first pitch in the pattern, along a first direction, another associated with a second pitch along a different, second direction in the pattern. An area is identified where the first-order diffracted beam associated with the first pitch traverses the optical element. An image characteristic of an image of the pattern is optimized by calculating a desired optical phase of this first-order diffracted beam in relation to the optical phase of the other first-order diffracted beam. The phase adjuster is controlled to apply the desired optical phase to the first order diffracted beam. | 12-24-2009 |
20090316126 | HIGH RESOLUTION PRINTING TECHNIQUE - A pattern having exceptionally small features is printed on a partially fabricated integrated circuit during integrated circuit fabrication. The pattern is printed using an array of probes, each probe having: 1) a photocatalytic nanodot at its tip; and 2) an individually controlled light source. The surface of the partially fabricated integrated circuit comprises a photochemically active species. The active species undergoes a chemical change when contacted by the nanodot, when the nanodot is illuminated by light. To print a pattern, each probe raster-scans its associated nanodot across the surface of the partially fabricated integrated circuit. When the nanodot reaches a desired location, the nanodot is illuminated by the light source, catalyzing a change in the reactive species and, thus, printing at that location. Subsequently, reacted or unreacted species are selectively removed, thereby forming a mask pattern over the partially fabricated integrated circuit. The minimum size of the features in the pattern is determined by the size of the nanodot and can be very small, e.g., having critical dimensions of about 20 nm or less. | 12-24-2009 |
20090323035 | EXPOSURE APPARATUS AND METHOD, MAINTENANCE METHOD FOR EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure apparatus (EX) includes a setting apparatus (45) that sets an irradiation region (AR) of exposure light (EL) in a first state in which the irradiation light (EL) is irradiated onto a substrate (P) and irradiates, in a second state in which the exposure light (EL) is not irradiated onto the substrate (P), the exposure light (EL) onto a second region, which is different from a first region through which the exposure light (EL) passes in the first state, of a first surface of an optical member (FL) that is contacted with a liquid (LQ), to clean the second region by photochemical action. | 12-31-2009 |
20090323036 | SURFACE POSITION DETECTING APPARATUS, EXPOSURE APPARATUS, SURFACE POSITION DETECTING METHOD, AND DEVICE MANUFACTURING METHOD - An apparatus is provided with a light-sending optical system which makes first light from a first pattern and second light from a second pattern incident to a predetermined surface to project intermediate images of the first and second patterns onto the predetermined surface respectively; a light-receiving optical system which guides the first and second light reflected on the predetermined surface, to first and second observation surfaces to form observation images of the first and second patterns, respectively; and a detecting section which detects position information of the observation images of the first and second patterns on the first and second observation surfaces respectively and which calculates a surface position of the predetermined surface, based on the position information. The light-sending optical system projects the intermediate image of the second pattern as an inverted image in a predetermined direction relative to the intermediate image of the first pattern. | 12-31-2009 |
20100002210 | INTEGRATED INTERFERENCE-ASSISTED LITHOGRAPHY - A lithography scanner and track system is provided that includes an interference lithography system according to one embodiment. The scanner provides a first optical exposure of a wafer. The track system provides pre and post-processing functions on a wafer. The interference lithography system may be included within the scanner and may expose a wafer either before or after the first optical exposure. The interference lithography system may also be included within the track system as part of the pre or post processing. The first optical exposure may include optical photolithography. | 01-07-2010 |
20100002211 | LITHOGRAPHIC APPARATUS - A discharge produced plasma radiation source includes a laser beam pulse generator configured to provide a laser beam pulse to trigger a pinch in a plasma of the discharge produced plasma radiation source. The laser beam pulse generator is arranged to provide a laser beam pulse having an energy greater than an optimum laser beam pulse energy that corresponds to a maximum output of a given wavelength of radiation for a given discharge energy. | 01-07-2010 |
20100002212 | SCANNING EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An apparatus includes a control unit configured to control an exposure unit and a driving unit such that exposure of a first region of a substrate starts and ends while a substrate stage is accelerated in a first direction parallel to a scanning direction, an absolute value of maximum acceleration of the substrate stage during a deceleration period is greater than an absolute value during a first approach run period, and a distance by which the substrate stage moves during the first approach run period is approximately equal to a distance by which the substrate stage moves during the deceleration period. | 01-07-2010 |
20100007863 | Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method - An apparatus and method to determine a property of a substrate by measuring, in the pupil plane of a high numerical aperture lens, an angle-resolved spectrum as a result of radiation being reflected off the substrate. The property may be angle and wavelength dependent. The radiation that is reflected off the substrate is radially polarized. | 01-14-2010 |
20100007864 | SCANNING EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - A scanning exposure apparatus which transfers, onto a substrate, a pattern on a reticle illuminated with pulse light whose light intensity distribution has an isosceles trapezoidal shape along a scanning direction of the substrate comprises a controller configured to obtain a relationship between a number of pulses received by the substrate while the substrate moves by a unit amount in the scanning direction and unevenness of exposure on the substrate which changes in accordance with the number of pulses received and the shape of the light intensity distribution. | 01-14-2010 |
20100007865 | Coupling apparatus, exposure apparatus, and device fabricating method - An exposure apparatus fills a space between a projection optical system and a substrate with a liquid and projects a pattern image onto the substrate to expose the substrate. The projection optical system has a first group including an optical member that comes into contact with the liquid, and a second group that differs from the first group. The first group is supported by a first support member via a vibration isolating apparatus. | 01-14-2010 |
20100020298 | LITHOGRAPHY APPARATUS WITH AN OPTICAL FIBER MODULE - A lithography apparatus with an optical fiber module includes: a light source, a photo mask positioned under the light source, a lens positioned under the photo mask, a wafer stage positioned under the lens for supporting the wafer, wherein the wafer includes a dry film. The lithography apparatus further includes an optical fiber module having a front surface facing away from the lens, wherein a gap is between the front surface and the dry film and the gap is smaller than the wavelength of the light source. The DUV (deep ultraviolet) can pass through the optical fiber module. The present invention features a gap smaller than the wavelength of the light source, creating a near-field effect with improved resolution. | 01-28-2010 |
20100026975 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes a shutter, an exposure dose sensor configured to detect an exposure dose on a substrate, and a controller configured to control an exposure operation in accordance with control modes of the shutter, which include a first mode in which an exposure time of the substrate is controlled by opening the shutter and thereafter closing the shutter based on the output from the exposure dose sensor, and a second mode in which the exposure time of the substrate is controlled by controlling an operation speed of the shutter based on control information determined in advance based on a target. | 02-04-2010 |
20100033697 | OPTICAL POSITION SENSOR, A POSITION SENSITIVE DETECTOR, A LITHOGRAPHIC APPARATUS AND A METHOD FOR DETERMINING AN ABSOLUTE POSITION OF A MOVABLE OBJECT TO BE USED IN A RELATIVE POSITION MEASUREMENT SYSTEM - A sensor includes a semiconductor body having a top and bottom surface, a first doped surface oriented region of a first conductivity type at the top surface, and a second doped surface oriented region of a second and opposite conductivity type at the bottom surface, wherein a sensitive area is defined where the first region overlaps with the second region. A resistive layer is partially arranged in the sensitive area. The sensor includes two first electrode contacts and two second electrode contacts, wherein the first electrode contacts are placed on the resistive layer to define a first detection area in the sensitive area between the first electrode contacts, and wherein the second electrode contacts are placed partially in the sensitive area on the bottom surface of the body, the surfaces of the second electrodes in the sensitive area defining a second detection area that overlaps with the first detection area. | 02-11-2010 |
20100033698 | Full Wafer Width Scanning Using Steps and Scan System - A system and method are provided for writing a pattern onto a substrate. A patterned beam of radiation is produced using a reticle and projected onto a substrate to expose the pattern. Reticle and substrate speeds are controlled such that respective scanning speeds of the reticle and the substrate allow the pattern to be exposed across an entire width of the substrate in the scanning direction, which provides a substantial increase in wafer throughput. | 02-11-2010 |
20100045952 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A microlithographic projection exposure apparatus contains an illumination system ( | 02-25-2010 |
20100053578 | Apparatus for imprint lithography using an electric field - A lithography process for creating patterns in an activating light curable liquid using electric fields followed by curing of the activating light curable liquid is described. The process involves the use of a template that is formed of non-conductive and electrically conductive portions. The template is brought into close proximity to the activating light curable liquid on the substrate. An external electric field is applied to the template-substrate interface while maintaining a uniform, carefully controlled gap between the template and substrate. This causes the activating light curable liquid to be attracted to the raised portions of the template. Activating light is applied to the curable liquid while an electric field is applied to the template to create a patterned layer on the substrate. | 03-04-2010 |
20100053579 | METHOD AND SYSTEM FOR MANUFACTURING A RETICLE USING CHARACTER PROJECTION PARTICLE BEAM LITHOGRAPHY - A method for manufacturing a surface, the surface having a multiplicity of slightly different patterns, is disclosed with the method comprising the steps of designing a stencil mask having a set of characters for forming the patterns on the surface and reducing shot count or total write time by use of a character varying technique. A system for manufacturing a surface is also disclosed. | 03-04-2010 |
20100053580 | COMPUTER READABLE MEDIUM AND EXPOSURE METHOD - A computer readable medium containing computer-executable instructions which cause a computer to execute processing steps that calculate a light intensity distribution formed on an image plane of a projection optical system. When executed, the medium causes a computer to execute the steps of dividing an effective light source into the plurality of areas, generating, for each of the plurality of areas, a plurality of shifted pupil functions by shifting a pupil function in accordance with a position of each of divided point sources, defining, for each of the plurality of areas, a matrix including the plurality of pupil functions, calculating, for each of the plurality of areas, eigenvalues and eigenfunctions by performing singular value decomposition of the matrix, and calculating, for each of the plurality of areas, the light intensity distribution based on a diffracted light distribution from the mask and the eigenvalues and the eigenfunctions. | 03-04-2010 |
20100053581 | RADIATION SOURCE AND LITHOGRAPHIC APPARATUS - A radiation source is configured to generate extreme ultraviolet radiation. The radiation source includes a droplet generator constructed and arranged to generate fuel droplets, a heater constructed and arranged to heat the fuel droplets following generation of the fuel droplets by the droplet generator, and reduce the mass of fuel present in the fuel droplets and/or reduce the density of the fuel droplets, and a radiation emitter constructed and arranged to direct radiation onto the fuel droplets that have been heated by the heater to generate the extreme ultraviolet radiation. | 03-04-2010 |
20100053582 | Device Manufacturing Method, Control System, Computer Program and Computer-Readable Medium - A device manufacturing method in a lithographic apparatus includes determining a plurality of positions on a substrate. The plurality of positions on a measurement substrate are scanned in a first direction to determine a first substrate map of the substrate levels of the measurement substrate. The plurality of positions on the measurement substrate are scanned in a second direction to determine a second substrate map of the substrate levels of the measurement substrate. A difference map is produced that includes information of the difference in measurement substrate surface level using the first substrate map and the second substrate map. | 03-04-2010 |
20100060872 | EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD - The present invention provides an apparatus including a calculating unit which calculates a first time serving as a time required to drive a stage in a non-scanning direction perpendicular to a scanning direction, and a second time serving as a time required to drive the stage in the scanning direction, both of which range from when exposure of one of the plurality of shot regions ends until exposure of the next shot region starts, and an adjusting unit which adjusts, when the first time is longer than the second time, one of a time for which an acceleration of the stage nonlinearly changes in the process of reversing the scanning direction, and a settling time taken for the stage to settle from when acceleration of the stage ends until exposure starts, so that the second time becomes longer than the first time in driving the stage in the scanning direction. | 03-11-2010 |
20100066989 | SPECTRAL PURITY FILTERS AND METHODS THEREFOR - A spectral purity filter arrangement is disclosed. The spectral purity filter arrangement includes a film configured for filtering out at least a portion of input light and a support structure coupled to the film along at least one edge of the film. The spectral purity filter arrangement further includes a gas control subsystem configured to direct a gas at the film to support the film at least when the film is disposed in an operational position to perform the filtering. | 03-18-2010 |
20100073651 | LITHOGRAPHY APPARATUS - A lithography apparatus includes: a light source comprising a first light beam and a second light beam, a photomask, a polarization controlling system positioned between the light source and the photomask, a wafer state for holding a wafer, and a lens positioned between the photomask and the wafer stage. The polarization controlling system diverts the first light beam into a first polarization direction and diverts the second light beam into a second polarization direction, wherein the first polarization direction and the second polarization direction are different from each other. | 03-25-2010 |
20100073652 | MOVABLE BODY APPARATUS, MOVABLE BODY DRIVE METHOD, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A drive system drives a movable body, based on measurement results of a first measurement system which measures the position of the movable body in an XY plane by irradiating a measurement beam from an arm member on a grating placed on a surface parallel to the XY plane of the movable body. In this case, because a configuration in which the arm member irradiates a measurement beam on the grating is employed, there is no adverse effect due to the drive of the moving body, unlike the case when an encoder system is arranged on a stage surface plate. Accordingly, it becomes possible to drive the movable body with good precision. | 03-25-2010 |
20100073653 | MOVABLE BODY APPARATUS, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - In measurement of a positional information in the XY plane of a fine movement stage held by a coarse movement stage, an encoder system is used including a head which is placed facing a grating placed on a surface substantially parallel to the XY plane of the fine movement stage and irradiates a measurement beam on the grating. Then, the fine movement stage is driven individually or integrally with the coarse movement stage by a drive system, based on the positional information measured by the encoder system. In this case, the head of the encoder system can be placed in proximity to the fine movement stage (the grating), which allows a highly precise measurement of the positional information of the fine movement stage by the encoder system. | 03-25-2010 |
20100073654 | EVALUATION METHOD AND EXPOSURE APPARATUS - An exposure apparatus includes an original stage mounted with an exposure original having a pattern used for exposure, and an evaluation original having a pattern used to evaluate an optical performance of a projection optical system, a first drive mechanism configured to drive the original stage in a first direction that is a scan direction, and a second drive mechanism configured to drive the evaluation original on the original stage in a second direction orthogonal to the first direction, a width of the evaluation original in the second direction is smaller than that of the exposure original in the second direction. | 03-25-2010 |
20100073655 | OPTICAL SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An optical system of a microlithographic projection exposure apparatus contains a module, which can be fitted in the optical system and removed from it as a unit. The module contains a cavity which can be completely filled with a liquid and hermetically sealed, and a concavely curved optical surface which bounds the cavity at the top during operation of the projection exposure apparatus. This makes it possible to fill the module outside the optical system. The module can be tilted there so that no air bubble, which prevents complete filling, can form below the concavely curved optical surface. | 03-25-2010 |
20100079736 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An apparatus that exposes a pattern of an original onto a plate through a projection optical system. The apparatus includes a reference base that holds the projection optical system, a supporting unit configured to elastically support the reference base, a base structure that holds the supporting unit on an installation floor, a detection unit configured to detect a relative position between the base structure and the reference base, and an adjustment unit, which is disposed at the installation floor of the base structure, configured to adjust an attitude of the base structure based on the results detected by the detection unit. | 04-01-2010 |
20100085547 | SOURCE MODULE, RADIATION SOURCE AND LITHOGRAPHIC APPARATUS - A radiation source is configured to generate extreme ultraviolet radiation. The radiation source includes a fuel supply configured to supply a fuel to a plasma formation site; a laser configured to emit a beam of radiation to the plasma formation site so that a plasma that emits extreme ultraviolet radiation is generated when the beam of radiation impacts the fuel; a fuel particulate interceptor constructed and arranged to shield at least part of the radiation source from fuel particulates that are emitted by the plasma, the fuel particulate interceptor comprising a first portion and a second portion, the second portion being positioned closer to the plasma formation site than the first portion, and the first portion being rotatable; and a fuel particulate remover constructed and arranged to remove fuel particulates from a surface of the fuel particulate interceptor and to direct the fuel particulates towards a collection location. | 04-08-2010 |
20100085548 | METHOD AND SYSTEM FOR OVERLAY CONTROL USING DUAL METROLOGY SAMPLING - A system and method are provided for determining an overlay of a first layer N−1 and a second layer N that are positioned one over the other on a substrate. The first layer includes a first overlay portion. The second layer includes a first complementary overlay portion. The first overlay portion and first complementary overlay portion are arranged to form an overlay mark for determining the overlay of the first and second layers. In the second layer a stitching portion and a complementary stitching portion are formed. The stitching portion and complementary stitching portion are arranged to form a stitching mark for determining a stitching overlay between the second layer and an adjacent second layer, with the adjacent second layer being positioned adjacent to the second layer. | 04-08-2010 |
20100091256 | METHOD AND APPARATUS FOR REPRODUCING A PROGRAMMABLE MASK ON A SUBSTRATE - A pattern, is imaged by means of a programmable mask, on a substrate that has a photosensitive layer, illumination spots being produced on the mask by means of an illumination unit and individual pixels being produced, via an optical unit, forming a grid of pixels on the substrate corresponding to the pattern. Structure edges that are to be reproduced on the substrate are positioned optimally. To this end at least two exposure processes for the photosensitive layer are performed, the illumination spots or exposure points of which are offset from one another. Thereby, spaces in the grid of pixels of the first exposure process, which spaces are proportionate to the number of exposure processes to be effected, are filled with pixels by the subsequent exposure processes. | 04-15-2010 |
20100097588 | EXPOSURE METHOD, DEVICE MANUFACTURING METHOD, AND MASK - An exposure method that uses a substrate (M) held by a holding member ( | 04-22-2010 |
20100097589 | LITHOGRAPHIC APPARATUS COMPRISING AN ASSEMBLY OF A LINE CARRIER WITH LOW-FRICTION CABLES, HOSES OR THE LIKE AND SUCH AN ASSEMBLY IN GENERAL - A lithographic apparatus includes an assembly of a plurality of flexible medium transfer lines and a line carrier, the liner carrier configured to moveably guide the plurality of flexible medium transfer lines from one connection point of the apparatus to another connection point, wherein at least one of the two connection points is movable. At least one of the flexible medium transfer lines includes an inner base layer having an outer low-friction layer provided thereon, the outer low-friction layer having lower friction capacities than the inner base layer so as to provide a smooth guiding of the at least one of the flexible medium transfer lines relative to the carrier and a neighbouring flexible medium transfer line during a movement of the at least one connection point. | 04-22-2010 |
20100097590 | ROBUST OPTIMIZATION TO GENERATE DROP PATTERNS IN IMPRINT LITHOGRAPHY WHICH ARE TOLERANT OF VARIATIONS IN DROP VOLUME AND DROP PLACEMENT - Imprint lithography may comprise generating a fluid map, generating a fluid drop pattern, and applying a fluid to a substrate according to the fluid drop pattern. The fluid drop pattern may be generated using a stochastic process such as a Monte Carlo or structured experiment over the expected range of process variability for drop locations and drop volumes. Thus, variability in drop placement, volume, or both may be compensated for, resulting in surface features being substantially filled with the fluid during imprint. | 04-22-2010 |
20100097591 | Exposure apparatuses and methods - An exposure apparatus may include: a stage configured to move a substrate; an optical unit configured to generate and project a plurality of laser beams; and a control unit configured to measure straightness of the stage by controlling the projection of the laser beams to an exposed surface of the substrate while moving the stage. A method to measure straightness of a stage in an exposure apparatus may include: placing a substrate on the stage; moving the stage and substrate; generating a plurality of laser beams; projecting the laser beams to the substrate on the stage; and measuring the straightness of the stage by projecting the laser beams to an exposed surface of the substrate. | 04-22-2010 |
20100103393 | SCANNING EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An apparatus comprises a device which measures a surface position of a substrate at a plurality of measurement points, and a controller configured to cause the device to measure the surface position under a condition that an arrangement direction in which the plurality of measurement points are arranged and a scanning direction of the substrate are not orthogonal to each other, wherein exposure on the substrate is controlled based on a measurement result obtained by the device. | 04-29-2010 |
20100110399 | Reverse Flow Gas Gauge Proximity Sensor - A gas gauge proximity sensor supplying gas in a reverse flow direction from the injection chamber to the measurement chamber. Supplying gas in a reverse flow direction enables the transient behavior in the sensor to more rapidly stabilize, with a resulting increase in bandwidth. Optionally, a scavenger chamber can be used to remove the excess gas by locating a scavenger aperture of the scavenger chamber in close proximity to the exit aperture of the injection chamber. A bridge proximity sensor can be used with a reference chamber to receive gas flow from a location close to the exit aperture of the injection chamber in order to reduce common mode errors. | 05-06-2010 |
20100110400 | SCANNING EXPOSURE APPARATUS, CONTROL METHOD THEREFOR, AND DEVICE MANUFACTURING METHOD - An apparatus which includes a measurement device measuring a surface position of a substrate at each of a plurality of measurement points; which is configured to scan-expose the substrate using slit-shaped light while controlling the surface position based on the measurement result; and in which a width, in a non-scanning direction of the substrate, of a region where the plurality of measurement points are arranged is wider than a width of the slit-shaped light, comprises a controller configured to control the measurement device so as to measure the surface positions in at least two shot regions on the substrate at once at the measurement points, at each of which a portion whose distance from a measurement target position on the substrate falls within a tolerable distance can be measured, of the plurality of measurement points. | 05-06-2010 |
20100123885 | Large area nanopatterning method and apparatus - Embodiments of the invention relate to methods and apparatus useful in the nanopatterning of large area substrates, where a rotatable mask is used to image a radiation-sensitive material. Typically the rotatable mask comprises a cylinder. The nanopatterning technique makes use of Near-Field photolithography, where the mask used to pattern the substrate is in contact or close proximity with the substrate. The Near-Field photolithography may make use of an elastomeric phase-shifting mask, or may employ surface plasmon technology, where a rotating cylinder surface comprises metal nano holes or nanoparticles. | 05-20-2010 |
20100123886 | Lithographic Apparatus and Device Manufacturing Method - A method for manufacturing a device includes providing a substrate, the substrate including a plurality of exposure fields, each exposure field including one or more target portions and at least one mark structure, the mark structure being arranged as positional mark for the exposure field; scanning and measuring the mark of each exposure field to obtain alignment information for the respective exposure field; determining an absolute position of each exposure field from the alignment information for the respective exposure field; determining a relative position of each exposure field with respect to at least one other exposure field by use of additional information on the relative parameters of the exposure field and the at least one other exposure field relative to each other; and combining the absolute positions and the determined relative positions into improved absolute positions for each of the plurality of exposure fields. | 05-20-2010 |
20100141914 | LITHOGRAPHIC APPARATUS AND POSITIONING APPARATUS - A lithographic apparatus includes a movable first object, and a heat exchanger including a heat exchanging body, the heat exchanging body including a material with electro-caloric or magneto-caloric properties and configured to affect the temperature of the first object by exchanging heat with the movable first object, and a generator configured to supply an electromagnetic field to the heat exchanging body to change the temperature of the heat exchanging body in order to cool or heat the first object. | 06-10-2010 |
20100141915 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus, includes a support structure configured to hold a patterning device, the patterning device configured to impart a beam of radiation with a pattern in its cross-section; a substrate table configured to hold a substrate; a projection system configured to project the patterned beam onto a target portion of the substrate; a liquid supply system configured to provide liquid to a space between the projection system and the substrate table; a sensor configured to measure an exposure parameter using a measuring beam projected through the liquid; and a correction system configured to determine an offset based on a change of a physical property impacting a measurement made using the measuring beam to at least partly correct the measured exposure parameter. | 06-10-2010 |
20100141916 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD WITH DOUBLE EXPOSURE OVERLAY CONTROL - A device manufacturing method includes a transfer of a pattern from a patterning device onto a substrate. The device manufacturing method further includes transferring a pattern of a main mark to a base layer for forming an alignment mark; depositing a pattern receiving layer on the base layer; in a first lithographic process, aligning, by using the main mark, a first mask that includes a first pattern and a local mark pattern, and transferring the first pattern and the local mark pattern to the pattern receiving layer; aligning, by using the local mark pattern, a second mask including a second pattern relative to the pattern receiving layer; and | 06-10-2010 |
20100149502 | Method of detecting reticle errors - A method of detecting reticle error may include using an optical source of an exposure unit to cause light to be incident on a reticle installed in the exposure unit, and detecting the reticle error using only 0 | 06-17-2010 |
20100157263 | LITHOGRAPHIC APPARATUS, AND PATTERNING DEVICE FOR USE IN A LITHOGRAPHIC PROCESS - The invention relates to a lithographic apparatus including an illumination system configured to condition a radiation beam, a patterning device support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam, a substrate support constructed to hold a substrate; a projection system configured to project the patterned radiation beam onto a target portion of the substrate, and an encoder-type measurement system configured to at least during projection of the patterned radiation beam onto a target portion of the substrate continuously determine a position quantity of a patterning device supported on the patterning device support using a grid or grating provided on the patterning device. | 06-24-2010 |
20100157264 | METHOD FOR DAMPING AN OBJECT, AN ACTIVE DAMPING SYSTEM, AND A LITHOGRAPHIC APPARATUS - A method for damping an object in two or more degrees of freedom, including measuring a position quantity at each of the two or more measurement locations; extracting from the measured position quantities a measurement signal for each dynamic mode; feeding the measurement signal of a dynamic mode to a controller unit associated with the respective dynamic mode, the controller unit providing for each dynamic mode an output signal on the basis of the respective measurement signal; and providing a control signal to each of the two or more actuators, the control signal for each actuator being based on output signals of one or more controller units. | 06-24-2010 |
20100157265 | FLUID HANDLING STRUCTURE, TABLE, LITHOGRAPHIC APPARATUS, IMMERSION LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHODS - A fluid handling structure comprising a conduit is described. The conduit is configured to supply fluid to (i) a space between a projection system and a substrate and/or substrate table, and/or (ii) a top surface of a substrate and/or substrate table radially outward of the space. The fluid comprises a fluid in a first phase and a fluid in a second phase. The conduit comprises at least two openings, a first phase fluid opening configured to allow passage of the first phase fluid and a second phase fluid opening configured to allow passage of the second phase fluid. There is also disclosed a table and a lithographic apparatus comprising such a conduit, as well as a method in which the conduit is used. | 06-24-2010 |
20100157266 | PROJECTION EXPOSURE METHOD AND PROJECTION EXPOSURE APPARATUS FOR MICROLITHOGRAPHY - A projection exposure method for the exposure of a radiation-sensitive substrate arranged in the region of an image surface of a projection objective with at least one image of a pattern of a mask arranged in the region of an object surface of the projection objective includes exposing the substrate with the image of the pattern in an effective image field of the projection objective during an exposure time interval and also altering a relative positioning between a surface of the substrate and a focus surface of the projection objective during the exposure time interval in such a way that image points in the effective image field are exposed with different focus positions of the image of the mask during the exposure time interval. An active compensation of at least one portion of at least one imaging aberration induced by the change in the focus positions during the exposure time interval has the effect that the imaging quality is not significantly impaired by the alteration of the focusing during the exposure time interval. | 06-24-2010 |
20100165310 | EUV Mask Inspection - A system for inspecting an extreme ultra violet (EUV) mask. The system includes an array of sensors and an optical system. The array of sensors is configured to produce analog data corresponding to received optical energy. The optical system is configured to direct EUV light from an inspection area of an EUV patterning device onto the array of sensors, whereby the analog data is used to determine defects or to compensate for irregularities found on the EUV mask. | 07-01-2010 |
20100165311 | Linear Motor Magnetic Shield Apparatus - A magnetic shield having non-magnetic gaps provides reduced magnetic cross-talk for a linear motor array in a precision positioning system. Redirecting the leakage flux limits the cross-talk and associated deleterious effects. Such preferred magnetic circuit paths for the leakage are affixed to the moving magnet system of the linear motor. Embodiments of the preferred flux leakage paths are realized by providing a ferromagnetic shield separated by a non-magnetic gap between the permanent magnets and the back-irons. In another embodiment, the ferromagnetic shield separation includes diamagnetic materials. | 07-01-2010 |
20100165312 | Method of Determining a Characteristic - A plurality of targets including a second population superimposed on a first population are formed. In the first target the second population has an asymmetry with respect to the first population. In the second target the second population has a different asymmetry with respect to the first population. Reflected radiation is detected from both the targets and used to determine different characteristics of the underlying populations. | 07-01-2010 |
20100182578 | LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD, SEAL STRUCTURE, METHOD OF REMOVING AN OBJECT AND A METHOD OF SEALING - A lithographic apparatus for immersion lithography is disclosed in which a seal between different parts of a substrate table is arranged to be easily applied and removed and in an embodiment, reduces transmission of forces between the different parts. | 07-22-2010 |
20100201961 | System For Improving Critical Dimension Uniformity - A system for improving substrate critical dimension uniformity is described. The system includes an exposing means for exposing a plurality of mask patterns on a first plurality of substrates at predetermined locations with common splits of focus ({F | 08-12-2010 |
20100208222 | Exposure apparatus and method to measure beam position and assign address using the same - An exposure apparatus and a method to measure a beam position and assigning an address using the same are disclosed. The exposure apparatus includes a Digital Micromirror Device (DMD) having a plurality of micromirrors, each micromirror to modulate light projected from a light source and project a modulated DMD beam onto an exposed surface, a measurement mask to measure positions of the DMD beams projected onto the exposed surface, a sensor to detect light intensities of the DMD beams measured by the measurement mask, and a controller to determine the positions of the DMD beams according to the detected light intensities. | 08-19-2010 |
20100214545 | Creating Metal Gate Structures Using Lithography-Etch-Lithography-Etch (LELE) Processing Sequences - The invention can provide apparatus and methods of creating metal gate structures on wafers in real-time using Lithography-Etch-Lithography-Etch (LELE) processing sequence. Real-time data and/or historical data associated with LELE processing sequences can be fed forward and/or fed back as fixed variables or constrained variables in internal-Integrated-Metrology modules (i-IMM) to improve the accuracy of the metal gate structures. | 08-26-2010 |
20100220303 | OPTICAL SYSTEM AND METHOD FOR CHARACTERISING AN OPTICAL SYSTEM - The disclosure provides an optical system and a method of characterising an optical system, such as in a microlithographic projection exposure apparatus. According to an aspect, an optical system having an optical axis (OA) includes a first element which is partially translucent for light of a working wavelength of the optical system. The first element has at least one partially reflecting first surface arranged rotated about a first axis of rotation in relation to a plane perpendicular to the optical axis (OA). The optical system also includes a second element in succession to the first element along the optical axis (OA). The second element is partially translucent for light of the working wavelength and has at least one partially reflecting second surface which is arranged rotated about a second axis of rotation in relation to a plane perpendicular to the optical axis (OA). The system further includes an intensity measuring device configured to measure the intensity of light reflected at the first surface and the intensity of light reflected at the second surface. | 09-02-2010 |
20100220304 | MASK CASE, TRANSPORT APPARATUS, EXPOSURE APPARATUS, MASK TRANSPORT METHOD, AND DEVICE PRODUCTION METHOD - A mask case which accommodates a mask therein includes a reinforcing member which has a strength corresponding to a load of the mask and which is provided at a contact portion contacting with a transporting vehicle and a transporting apparatus each of which is an external apparatus on which the mask case is placed. Each of the transporting vehicle and the transporting apparatus transporting the mask includes a plurality of ball transfers which support the reinforcing member of the mask case accommodating the mask therein and which serve as a case support portion suppressing friction which is generated with respect to the reinforcing member. | 09-02-2010 |
20100238420 | LITHOGRAPHY APPARATUS AND LITHOGRAPHY METHOD - A lithography apparatus includes a stage on which a target object is placed; a chamber in which the stage is arranged and which has one side surface in which an opening having a size which is enough to carry the stage in or out is formed, the opening being closed with an independent lid; an electro-optic lens barrel arranged on the chamber; and a rib portion formed to have a shape that is convex on an upper portion of the side surface of the chamber in which the opening is formed. | 09-23-2010 |
20100238421 | Preparation Unit for Lithography Machine - A charged particle lithography system comprising a preparation unit. The preparation unit comprises a housing having a first load port for loading and/or unloading a substrate into or out of the housing, a substrate transfer unit for locating the substrate onto a substrate support structure within the housing, and a second load port for loading and/or unloading the substrate support structure supporting the substrate. | 09-23-2010 |
20100245792 | Alignment Measurement Arrangement, Alignment Measurement Method, Device Manufacturing Method and Lithographic Apparatus - An alignment measurement arrangement includes a source, an optical system and a detector. The source generates a radiation beam with a plurality of wavelength ranges. The optical system receives the radiation beam, produces an alignment beam, directs the alignment beam to a mark located on an object, receives alignment radiation back from the mark, and transmits the received radiation. The detector receives the alignment radiation and detects an image of the alignment mark and outputs a plurality of alignment signals, r, each associated with one of the wavelength ranges. A processor, in communication with the detector, receives the alignment signals, determines signal qualities of the alignment signals; determines aligned positions of the alignment signals, and calculates a position of the alignment mark based on the signal qualities, aligned positions, and a model relating the aligned position to the range of wavelengths and mark characteristics, including mark depth and mark asymmetry. | 09-30-2010 |
20100259736 | PLOTTING STATE ADJUSTING METHOD AND DEVICE - An X-coordinate of a mirror image in the plotting surface of micro mirrors constituting a DMD is calculated. A first safe region of an inclination angle of the DMD where the maximum value of the X-coordinate is not greater than a predetermined threshold value is calculated. Next, simulation is performed in the first safe region and a second safe region of the inclination angle is calculated. In the second safe region, a desired inclination angle is set and adjustment is performed. | 10-14-2010 |
20100259737 | Exposure apparatus preventing gas from moving from exposure region to measurement region - An exposure apparatus that has an exposure region for irradiating exposure light to a substrate via an optical system and a liquid and a measurement region for obtaining information relating to the position of the substrate in advance of exposure and moves the substrate between the exposure region and the measurement region to perform exposure of the substrate; comprising a penetration shielding mechanism that prevents the penetration of the gas in the vicinity of the exposure region to the measurement region. | 10-14-2010 |
20100265479 | DEVICE MANUFACTURING METHOD AND LITHOGRAPHIC APPARATUS - A mask can be used to print a pattern. Due to mask pattern surface topography, an image error may occur, such as an intensity imbalance between adjacent bright lines in the projected pattern. To help alleviate or eliminate the problem of intensity imbalance, the projection system may include an optical phase adjuster constructed and arranged to adjust a phase of an electric field of optical beams of radiation beam traversing the adjuster. A reduction of intensity imbalance is achieved by suitably adjusting the phases of the zeroth, plus first and minus first-order diffracted radiation emanating from the mask pattern. By adjusting the phase differently for different portions of the illumination, the method can be applied such that no decrease of depth of focus due, for example, the 0 | 10-21-2010 |
20100271606 | LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS - An immersion lithographic apparatus is disclosed. The apparatus has a projection system configured to project a patterned radiation beam onto a target portion of the substrate, the projection system having a lower surface. The apparatus also has a liquid confinement structure defining, in use, in part with the lower surface and the substrate and/or substrate table, an immersion space, the immersion space comprising, in use, a liquid meniscus between a part of the lower surface facing a surface of the liquid confinement structure and a facing surface of the liquid confinement structure facing the part of the lower surface. The apparatus also has a pinning surface comprising a plurality of meniscus pinning features, the pinning surface being part of or on the part of the lower surface, or part of or on the facing surface of the liquid confinement structure, or part of or on both. | 10-28-2010 |
20100271607 | OPTICAL ASSEMBLY - An optical assembly supported in an arrangement, especially in an objective or in an illuminating or exposure system, in the interior of a housing comprising at least one optical element, especially a lens, a mirror, or an aperture, wherein the at least one element is influenceable by at least one manipulator is characterized in that the at least one manipulator is arranged either outside of the housing or in a holding means that is separated entirely or to a large extent by the help of a decoupling means, and that there is provided an effective coupling between the manipulator and the element to be influenced by the manipulator in the interior of the arrangement. | 10-28-2010 |
20100277706 | Method of Measurement, an Inspection Apparatus and a Lithographic Apparatus - According to an example, a first layer of a substrate comprises a plurality of gratings having a periodicity P. A second layer of the substrate comprises a plurality of gratings, overlapping with the first set of gratings, and having a periodicity of NP, where N is an integer greater than 2. A first set of gratings has a bias of +d and the second set of gratings has a bias of −d. A beam of radiation is projected onto the gratings and the angle resolved spectrum of the reflected radiation detected. The overlay error is then calculated using the angle resolved spectrum of the reflected radiation. | 11-04-2010 |
20100290017 | Folded Optical Encoder and Applications for Same - A system and method are used to determine a parameter (e.g., angle, position, orientation, etc.) of a device. A first portion includes a source of radiation configured to produce a beam of radiation that is directed to be reflected from a reflective portion of the device. A second portion is coupled to the first portion and includes a measurement device and, optionally, a detector, such that the reflected beam transmits through the measurement device onto the detector. The parameter of the device is determined based on the interaction of the reflected beam and the measurement device. In one example, the first and second portions can form a folded optical encoder that measures an angle of a scanning mirror or a position or orientation of a stage within a lithography apparatus. | 11-18-2010 |
20100290018 | Miniaturized Microparticles - A system and method for forming encoded microparticles is described. One embodiment includes a system for forming encoded microparticles, the system comprising a step and repeat exposure system capable of performing a method comprising: printing at a first time a first portion of a code of a microparticle; and printing at a second time after the first time a second portion of the code of the microparticle; and a computer readable medium having a sequence of computer executable instructions for controlling the step and repeat exposure system to perform said method. | 11-18-2010 |
20100296069 | Pattern division method, pattern division processing apparatus and information storage medium on which is stored a program - There is provided a pattern division method to form crowded patterns accurately on a substrate includes acquiring a mask pattern, dividing a predetermine area into a plurality of areas to prepare a division pattern in which the plurality of the areas are classified into first and second groups, generating a reduced mask pattern by reducing each of two or more patterns laid out in the object mask pattern substantially toward the center of the particular pattern, overlapping the division pattern with the reduced mask pattern and extracting the reduced patterns overlapped with the area classified as the first group of the division pattern to generate a first reduced mask pattern, and restoring the reduced patterns laid out in the first reduced mask pattern to the original size before generation of the reduced mask pattern. | 11-25-2010 |
20100296070 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - While an exposure processing is performed to a wafer held by a fine movement stage supported by a coarse movement stage in an exposure station, at least a part of a measurement processing to a wafer held by another fine movement stage supported by another coarse movement stage and an exchange of a wafer held by yet another fine movement stage on a center table is concurrently performed. Because of this, exposure with a higher throughput becomes possible, even when compared with a conventional exposure apparatus which concurrently performs an exposure processing to a wafer on a wafer stage, and processing such as wafer exchange and alignment on another stage. | 11-25-2010 |
20100296071 | MOVABLE BODY APPARATUS, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A fine movement stage is driven by a controller, based on positional information of the fine movement stage in a measurement direction measured by a measurement system and correction information of a measurement error caused by a tilt of the fine movement stage included in the positional information. Accordingly, driving the fine movement stage with high precision becomes possible, which is not affected by a measurement error included in the positional information in a measurement direction of the measurement system that occurs due to a tilt of the fine movement stage. | 11-25-2010 |
20100302520 | CLUSTER E-BEAM LITHOGRAPHY SYSTEM - A hybrid lithography system is disclosed to achieve high throughput and high resolution of sub 32 nm lithography. The hybrid system contains an optical lithographer for expose pattern area where features above 32 nm, and a cluster E-beam lithography system for expose pattern area where features is sub 32 nm | 12-02-2010 |
20100309447 | LITHOGRAPHIC APPARATUS, A PROJECTION SYSTEM AND A DEVICE MANUFACTURING METHOD - A lithographic apparatus is disclosed that includes a projection system configured to project a patterned radiation beam onto a target portion of a substrate, a vacuum chamber through which the patterned beam of radiation is projected during use, and a purge system configured to provide a purge gas flow in the chamber. | 12-09-2010 |
20100309448 | WAVEFRONT ABERRATION MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE - A measurement apparatus, which measures a wavefront aberration of an optical system to be measured, comprises: a calculation unit configured to calculate the wavefront aberration based on an interference fringe generated by light which passed through the optical system to be measured; and a determination unit configured to calculate an evaluation value indicating a wavefront state based on the wavefront aberration calculated by the calculation unit, and determine the calculated wavefront aberration as the wavefront aberration of the optical system if the evaluation value falls within an allowable range. | 12-09-2010 |
20100321654 | Method of Overlay Measurement, Lithographic Apparatus, Inspection Apparatus, Processing Apparatus and Lithographic Processing Cell - In order to improve overlay measurement, product marker gratings on a substrate are measured in a lithographic apparatus by an alignment sensor using scatterometry. Then information relating to the transverse profile of the product marker grating, such as its asymmetry, is determined from the measurement. After printing an overlay marker grating on a resist film, the lateral overlay of the overlay marker grating with respect to the product marker grating is measured by scatterometry and using the determined asymmetry information in combination with a suitable process model. The alignment sensor data may be used to first reconstruct the product grating and this information is fed forward to the scatterometer that measures the stack of product and resist grating and light scattered by the stack is used for reconstruction of a model of the stack to calculate overlay. The overlay may then, optionally, be fed back to the lithographic apparatus for correction of overlay errors. | 12-23-2010 |
20100321655 | EXPOSURE SYSTEM, CONTROL APPARATUS OF EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE - A system includes a plurality of exposure apparatuses each detecting a position of a mark formed on a substrate under a detection condition, positioning the substrate in accordance with the detected position, and exposing the positioned substrate; and a controller configured, if a detection condition for a certain process is changed in one of the plurality of exposure apparatuses for an error occurred in the one exposure apparatus while another exposure apparatus of the plurality of exposure apparatuses is processing a lot including a plurality of substrates for the certain process, to preset a detection condition in the other exposure apparatus in accordance with the changed detection condition after a process of detecting a position of a mark of a substrate currently being processed in the lot is completed and before a process of detecting a position of a mark of a next substrate in the lot is started. | 12-23-2010 |
20100321656 | TRANSMISSION MASK WITH DIFFERENTIAL ATTENUATION TO IMPROVE ISO-DENSE PROXIMITY - A system and method to compensate for the proximity effects in the imaging of patterns in a photolithography process. A light exposure of a photoresist layer is effectuated in predetermined patterns through an exposure mask having light-transmissive openings in correspondence to the predetermined patterns. The exposure mask has areas densely populated with the light-transmissive openings and areas sparsely populated with the light-transmissive openings. Light is attenuated through the densely populated light-transmissive openings by a different amount than through the sparsely populated light-transmissive openings. | 12-23-2010 |
20100328636 | Producing a Marker Pattern and Measurement of an Exposure-Related Property of an Exposure Apparatus - In order to determine whether an exposure apparatus is projecting patterns correctly, a marker pattern is used on a mask for printing a specific marker structure onto a substrate. This marker is then measured by an inspection apparatus to determine whether there are errors in exposure-related properties such as focus and dose. The projection of the marker pattern is modified so as to accentuate the production of side lobe-induced features of the marker structure relative to the production of side lobe-inducted features of the product structure. The form of the marker structure is more responsive to exposure variation than the form of the product structure to exposure variation. The marker pattern includes both primary features and secondary features that augment the side lobe arising from the primary feature to print side lobe-induced features on either side of a primary marker structure. Alternatively, the marker pattern is modified by having a different attenuation factor with respect to the product pattern. Alternatively, the marker pattern is modified by providing a marker dose different from the product dose. | 12-30-2010 |
20110007290 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - Positional information of each of wafer stages during exposure and during alignment is measured directly under a projection optical system and directly under a primary alignment system, respectively, by a plurality of encoder heads, Z heads and the like, which a measurement bar placed below surface plates has, using gratings placed on the lower surfaces of fine movement stages. Consequently, high-precision measurement of the positional information of the wafer stages can be performed. | 01-13-2011 |
20110013162 | PATTERN FORMATION APPARATUS, PATTERN FORMATION METHOD, AND DEVICE MANUFACTURING METHOD - When a segmented region SA | 01-20-2011 |
20110013163 | DEVICE MANUFACTURING METHOD, COMPUTER PROGRAM AND LITHOGRAPHIC APPARATUS - In a device manufacturing method using a lithographic apparatus, corrections to the dose are applied, within and/or between fields, to compensate for CD variations due to heating of elements of the projection system of the lithographic apparatus. | 01-20-2011 |
20110013164 | Shear-Layer Chuck for Lithographic Apparatus - A lithographic apparatus is described that comprises a support structure ( | 01-20-2011 |
20110032499 | GENERATING METHOD, CREATING METHOD, EXPOSURE METHOD, AND STORAGE MEDIUM - The present invention provides a method of generating, by a computer, data on patterns of a plurality of originals for use in multiple exposure, in which a single-layer pattern is formed on a substrate by exposing the substrate a plurality of times, in an exposure apparatus including an illumination optical system which illuminates an original with light from a light source, and a projection optical system which projects a pattern of the original onto a substrate. | 02-10-2011 |
20110037961 | LITHOGRAPHIC APPARATUS COMPRISING AN INTERNAL SENSOR AND A MINI-REACTOR, AND METHOD FOR TREATING A SENSING SURFACE OF THE INTERNAL SENSOR - A lithographic apparatus includes a projection system constructed and arranged to project a beam of radiation onto a target portion of a substrate, an internal sensor having a sensing surface, and a mini-reactor movable with respect to the sensor. The mini-reactor includes an inlet for a hydrogen containing gas, a hydrogen radical generator, and an outlet for a hydrogen radical containing gas. The mini-reactor is constructed and arranged to create a local mini-environment comprising hydrogen radicals to treat the sensing surface. | 02-17-2011 |
20110043776 | EXPOSURE CONTROL APPARATUS, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, AND EXPOSURE APPARATUS - According to one embodiment, an exposure control apparatus includes exposure setting unit that performs an exposure setting of setting an exposure shot as a shot that is exposed or a shot that is not exposed based on height information on a height of a substrate in the exposure shot arranged in a substrate peripheral portion, and an exposure instructing unit that outputs an exposure instruction to the shot that is exposed and an instruction to skip an exposure to the shot that is not exposed. | 02-24-2011 |
20110043777 | TARGET MATERIAL, A SOURCE, AN EUV LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD USING THE SAME - A target material is configured to be used in a source constructed and arranged to generate a radiation beam having a wavelength in an extreme ultraviolet range. The target material includes a Gd-based composition configured to modify a melting temperature of Gd. | 02-24-2011 |
20110051108 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - Positional information of a stage is obtained using an interferometer system only during an exposure time when a constant speed drive of the stage is performed to form a pattern. Therefore, the linear measurement of the positional information is secured enough, which makes it possible to linearly drive the stage with high accuracy. Meanwhile, between an acceleration time and a deceleration time in which a step drive is performed, the positional information of the stage is obtained using an encoder system and a surface position measurement system. Therefore, measurement reproducibility of the positional information is sufficiently secured by the mechanical stability of a diffraction grating, which makes it possible to drive the stage precisely to the starting position of the constant speed drive. | 03-03-2011 |
20110051109 | MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A measurement apparatus which includes a plurality of sensors arranged on a movable member, and a plurality of scales attached to a structure, and measures a position of the movable member by detecting a displacement of the movable member using a sensor and a scale that face each other, the plurality of scales including two first scales configured to detect displacements of the movable member in a first direction, and two second scales configured to detect displacements of the movable member in a second direction different from the first direction, and the apparatus comprising a controller configured to reduce a measurement error resulting from a geometrical error between the two first scales. | 03-03-2011 |
20110058150 | MOLECULAR TRANSFER LITHOGRAPHY APPARATUS AND METHOD FOR TRANSFERRING PATTERNED MATERIALS TO A SUBSTRATE - A system and method to implement a molecular transfer lithography process is described. A destroyable nanopatterned template coated with functional material is attached to a removable carrier that is held suspended over a substrate, and a shaped pressure pad brings the functional material into contact with the substrate, initiating adhesion of the functional material to the surface of the substrate, and then detracting the pressure pad from the substrate. The substrate with destroyable template and removable carrier is then sent for further processing. In a preferred embodiment, the system and method are used to process a patterned polyvinyl alcohol template coated with a functional material that is thermal adhered to a substrate and after pressing, subjected to a water bath to dissolve away the template and carrier, leaving the functional material patterned on the substrate. | 03-10-2011 |
20110058151 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus which projects a pattern of an original onto a substrate by a projection optical system, comprises a measurement device configured to measure a relative position between an original stage and a substrate stage via the projection optical system using an original-side fiducial plate and a substrate-side fiducial plate, and a controller, the original-side fiducial plate including first and second original-side marks, and the substrate-side fiducial plate including first and second substrate-side marks, wherein the controller is configured to control imaging characteristics of the projection optical system so that measurement of the relative position between the original stage and the substrate stage using the first original-side mark and the first substrate-side mark and measurement of the relative position between the original stage and the substrate stage using the second original-side mark and the second substrate-side mark can be performed simultaneously. | 03-10-2011 |
20110063591 | SCANNING EXPOSURE DEVICE AND IMAGE-FORMING APPARATUS - A scanning exposure device includes: a reflecting member that reflects a light irradiated by an irradiating unit; a housing that has a bottom, a first sidewall, a second sidewall, and an aperture opening in a direction of a light reflected by the reflecting member; a cover that covers the aperture, forms a passing portion that allows the light reflected by the reflecting member to pass through, and provides a first fixed position at which the housing is fixed on the first sidewall and a second fixed position, paired with the first fixed position, at which the housing is fixed on the second sidewall, wherein the first fixed position and the second fixed position are arranged such that the main scanning direction passes between them. | 03-17-2011 |
20110063592 | FLUORESCENT FILM, METHOD OF FORMING FLUORESCENT FILM, MULTILAYER DIELECTRIC FILM, OPTICAL ELEMENT, OPTICAL SYSTEM, IMAGING UNIT, OPTICAL PROPERTY MEASURING APPARATUS, METHOD OF MEASURING OPTICAL PROPERTY, EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD OF MANUFACTURING DEVICE - A fluorescent film including a base material constituted of a UV-permeable fluoride, and an activator doped in the base material, wherein the activator contains a transition element or a rare earth element and emits fluorescent light in the base material when ultraviolet light is irradiated to the base material. Also disclosed are a multilayer dielectric film including the fluorescent film, and an optical element, an imaging unit, an optical property measuring apparatus, an exposure apparatus, an exposure method, and a device manufacturing method utilizing the fluorescent film. | 03-17-2011 |
20110063593 | MANAGEMENT APPARATUS, EXPOSURE METHOD, AND METHOD OF MANUFACTURING DEVICE - A management apparatus obtains a list of a plurality of lots for which exposure processes are reserved, an initial value of an aberration of a projection optical system before start of the exposure processes of the plurality of lots, and an allowable value of the aberration of the projection optical system for each of the plurality of lots, generates candidates for an order of the plurality of lots based on the obtained list, calculates a time from start of an exposure process of the first lot until end of an exposure process of the last lot while adjusting time intervals between the lots so that the aberration of the projection optical system in the exposure process of each of the plurality of lots falls below the allowable value, and determines a processing order of the plurality of lots based on the calculated time. | 03-17-2011 |
20110075120 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A wafer stage is driven, based on positional information of a wafer stage measured using a measuring system and tilt information of the wafer stage. This allows the wafer stage to be driven with high precision, with the influence on the wafer stage when the wafer stage is tilted being reduced. | 03-31-2011 |
20110080570 | EXPOSURE APPARATUS AND EXPOSURE METHOD - An exposure apparatus and an exposure method by which alignment of regions of a substrate that are to be exposed by optical systems can be performed with accuracy even if the substrate is deformed nonuniformly within a plane. A step-and-scan exposure apparatus ( | 04-07-2011 |
20110085149 | PULSED HIGH-POWER LASER APPARATUS AND METHODS - In various embodiments, output beams of multiple seed lasers differing in at least one beam characteristic are combined, amplified, and separated according to the beam characteristic(s) for use in, e.g., plateless lithographic printing. | 04-14-2011 |
20110090475 | SCANNING EXPOSURE APPARATUS, CONTROL APPARATUS AND METHOD OF MANUFACTURING DEVICE - A scanning exposure apparatus includes a first feedback loop including a first controlled object including an original stage and controlling a position of the original stage, a second feedback loop including a second controlled object including a substrate stage and controlling a position of the substrate stage, and a feedback unit including a first calculator and feed backing a synchronization error between the original stage and the substrate stage to the first feedback loop and the second feedback loop via the first calculator, wherein a transfer function from a first target value for the first controlled object to a first controlled value of the first controlled object and that from a second target value for the second controlled object to a second controlled value of the second controlled object are equal, and the exposure is performed at least during a period in which the original and the substrate are accelerated. | 04-21-2011 |
20110090476 | LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD, AND METHOD OF APPLYING A PATTERN TO A SUBSTRATE - A lithographic apparatus includes at least one image alignment sensor for receiving radiation projected from an alignment mark on a reticle. Processor processes signals from the sensor(s) to resolve spatial information in the projected alignment mark to establish a reference for measuring positional relationships between a substrate support and the patterning location. Examples of the sensor include line arrays of photodetectors. A single array can resolve spatial information in a plane of the sensor (X, Y direction) and in a perpendicular (Z) direction. At least a final step in establishing the reference position is performed while holding the substrate support stationary. Errors and delays induced by mechanical scanning of prior art sensors are avoided. Alternatively (not illustrated) the sensor is moved for mechanical scanning relative to the substrate support, independently of the main positioning systems. | 04-21-2011 |
20110096311 | LITHOGRAPHIC APPARATUS AND PATTERNING DEVICE - A lithographic apparatus includes a support to support a patterning device, the patterning device being capable of imparting a radiation beam with a pattern in its cross-section to form a patterned radiation beam, a substrate table constructed to hold a substrate, and a projection system configured to project the patterned radiation beam onto a target portion of the substrate. The lithographic apparatus includes a projection transfer measurement system to measure an optical projection transfer information of the projection system. The projection transfer measurement arrangement includes: an optical device to direct a measurement beam into the projection system during a scanning movement, a detector to detect the measurement beam having passed through the projection system during the scanning movement, and a measurement processor to determine the optical projection transfer information from the detected measurement beam. The optical device and the detector are arranged at an upstream end of the projection system. | 04-28-2011 |
20110102754 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes an atmosphere maintaining unit which maintains an exposure chamber in an air atmosphere, a gas supply unit which supplies air or a mixed gas containing air and an inert gas to a local space, between a final surface of a projection optical system and a substrate, a detector which detects an alignment mark and a reference mark formed on the substrate stage, and a controller. The controller controls the gas supply unit not to supply the mixed gas to the local space when the detector detects the reference mark, and controls the gas supply unit to supply the mixed gas to the local space when an instruction to detect the alignment mark upon setting the local space in a mixed gas atmosphere, and expose the substrate based on the detection results of the reference mark and the alignment mark is issued from the recipe. | 05-05-2011 |
20110102755 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES AND EXPOSURE APPARATUS - According to embodiments, a substrate coated with a resist film is carried into a first pressure adjustment mechanism. Then, a peripheral atmosphere of the substrate is decompressed from an atmospheric pressure by the first pressure adjustment mechanism to measure a physical quantity correlated with an outgassing amount from the substrate. Then, whether to subject the substrate to an EUV exposure is determined based on a measurement result of the physical quantity. If determined not to subject the substrate to the EUV exposure, the substrate is transported into a second pressure adjustment mechanism without the substrate being subjected to the EUV exposure. Then, the substrate is carried out of the second pressure adjustment mechanism. | 05-05-2011 |
20110102756 | MOVABLE BODY DRIVE METHOD, MOVABLE BODY DRIVE SYSTEM, PATTERN FORMATION METHOD, PATTERN FORMING APPARATUS, EXPOSURE METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - Positional information of a movable body in a Y-axis direction is measured using an interferometer and an encoder whose short-term stability of measurement values excels when compared with the interferometer, and based on the measurement results, a predetermined calibration operation for obtaining correction information for correcting measurement values of the encoder is performed. Accordingly, by using measurement values of the interferometer, correction information for correcting the measurement values of the encoder whose short-term stability of the measurement values excels the interferometer is obtained. Then, based on the measurement values of the encoder and the correction information, the movable body is driven in the Y-axis direction with good precision. | 05-05-2011 |
20110109888 | Method and Apparatus for Measuring Line End Shortening, Substrate and Patterning Device - End of line effect can occur during manufacture of components using a lithographic apparatus. These end of line effects can result in line end shortening of the features being manufactured. Such line end shortening may have an adverse impact on the component being manufactured. It is therefore desirable to predict and/or monitor the line end shortening. A test pattern is provided that has two separate areas such that, as designed, when the two areas are illuminated with radiation (for example from an angle-resolved scatterometer) they result in diffused radiation with asymmetry that is equal in sign to each other, but opposite in magnitude. When the test pattern is actually manufactured, line end shortening occurs, and so the asymmetry of the two areas are not equal and opposite. From the measured asymmetry of the manufactured test pattern, the amount of line end shortening that has occurred can be estimated. | 05-12-2011 |
20110116063 | Exposure Unit Containment Mechanism and Image-Forming Apparatus - An exposure unit containment mechanism includes an exposure unit and a frame that defines a space into which the exposure unit is inserted, the exposure unit including: first protrusions protruding in left and right directions at a position spaced apart from a center of gravity of the exposure unit in a direction of insertion; and a second protrusion protruding in a downward direction, and the frame including: a guide member that contacts the first protrusions from above to limit upward movement of the first protrusions during insertion of the exposure unit; a first limiting member that limits movement of the second protrusion in left and right directions; a second limiting member that contacts the first protrusions from underneath to limit downward movement of the same; and a first pressing member that presses the first protrusions from above when the first protrusions are in contact with the second limiting member. | 05-19-2011 |
20110116064 | Maskless exposure apparatus and method - Example embodiments are directed to a maskless exposure apparatus using off-axis alignment to form a virtual mask pattern on a substrate. The maskless exposure apparatus includes a movement unit on which the substrate is placed, a light source unit configured to output light, a projection unit configured to divide the light output from the light source unit into a plurality of spot beams to form the pattern and configured to project the spot beams to the movement unit, an alignment unit configured to output alignment light to align the substrate and a virtual mask, a beam imaging unit configured to capture the spot beams and the alignment light, and a controller configured to measure distances between the captured alignment light and at least two of the captured spot beams and configured to determine alignment between the virtual mask and the substrate based on the measured distances to control movement of the movement unit. | 05-19-2011 |
20110134403 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A microlithographic projection exposure apparatus contains a projection objective, whose last optical element on the image side is a dry terminating element that has no refractive power and is designed for dry operation of the projection objective. According to the invention, the projection exposure apparatus furthermore contains an immersion terminating element that has no refractive power and is designed for immersed operation of the projection objective. The immersion terminating element is replaceable with the dry terminating element. Preferably, the dry terminating element and/or the immersion terminating element is composed of a plurality of plates, which are made of materials having different refractive indices. | 06-09-2011 |
20110134404 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus includes an original stage including a first mark, a substrate stage including a second mark and a photoelectric conversion device configured to detect light having passed through the second mark, a projection optical system, a measurement device configured to measure a position of at least one stage of the substrate stage and the original stage, and a controller configured to detect a positional relationship between the first mark and the second mark based on a signal output from the photoelectric conversion device and a measurement result output from the measurement device, wherein the controller cyclically samples a measurement result output from the measurement device, corrects the measurement result based on a time interval between a light emission timing of the pulsed light source and a sampling timing of the measurement result. | 06-09-2011 |
20110141443 | Imaging Methods In Scanning Photolithography And A Scanning Photolithography Device Used In Printing An Image Of A Reticle Onto A Photosensitive Substrate - An imaging method in scanning photolithography includes application of different quantity first and second exposure doses of electromagnetic radiation through a reticle to a photosensitive substrate while scanning across a substrate exposure field along a direction of scan. The first dose spans entirely across the width of the exposure field during the scan and occurs all along the exposure field. The second dose covers less than the entire width of the exposure field during the scan, and is applied at a location spaced along the direction of scan from where the first dose is being applied while the first dose is being applied. Other embodiments are disclosed, including apparatus embodiments. | 06-16-2011 |
20110164229 | LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD, AND METHOD OF APPLYING A PATTERN TO A SUBSTRATE - A lithographic apparatus includes a patterning subsystem for transferring a pattern from a patterning device onto a substrate controlled in accordance with recorded measurements of level variations across a surface of the substrate. A level sensor is provided for projecting a level sensing beam of radiation to reflect from a location on the substrate surface and for detecting the reflected sensing beam to record the surface level at said location. The level sensor incorporates at least one moving optical element to scan the substrate surface by optical movement in at least one dimension to obtain measurements of surface level at different locations without mechanical movement between the level sensor and the substrate. Optical path length equalization measures may be employed, using shaped reflectors and/or additional moving mirrors, to avoid focus variation during the scan. | 07-07-2011 |
20110164230 | POSITIONING APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A positioning apparatus according to the present invention includes: a tabletop having a plane; a plurality of actuators configured to be driven in a direction perpendicular to the plane to move the tabletop; and a control unit configured to measure a frequency response of the tabletop and, when a peak is detected at the resonance frequency of elastic vibration of the tabletop, adjust the thrust distribution ratio for the plurality of actuators to reduce the peak. | 07-07-2011 |
20110199596 | Method and Apparatus for Estimating Model Parameters of and Controlling a Lithographic Apparatus - System and methods estimate model parameters of a lithographic apparatus and control lithographic processing by a lithographic apparatus. An exposure is performed using a lithographic apparatus across a wafer. A set of predetermined wafer measurement locations is obtained. Discrete orthonormal polynomials are generated using the predetermined substrate measurement locations. The overlay errors arising from the exposure are measured at the predetermined locations to obtain overlay measurements. The estimated model parameters of the lithographic apparatus are calculated from the overlay measurements by using the discrete orthogonal polynomials as a basis function to model the overlay across the wafer. Finally, the estimated model parameters are used to control the lithographic apparatus in order to provide corrected overlay across the wafer. | 08-18-2011 |
20110205510 | Method and Apparatus for Controlling a Lithographic Apparatus - A lithographic exposure process is performed on a substrate using a scanner. The scanner comprises several subsystems. There are errors in the overlay arising from the subsystems during the exposure. The overlay errors are measured using a scatterometer to obtain overlay measurements. Modeling is performed to separately determine from the overlay measurements different subsets of estimated model parameters, for example field distortion model parameters, scan/step direction model parameters and position/deformation model parameters. Each subset is related to overlay errors arising from a corresponding specific subsystem of the lithographic apparatus. Finally, the exposure is controlled in the scanner by controlling a specific subsystem of the scanner using its corresponding subset of estimated model parameters. This results in a product wafer being exposed with a well controlled overlay. | 08-25-2011 |
20110205511 | Lithographic Apparatus and Device Manufacturing Method - A method controls scanning function of a lithographic apparatus. A monitor wafer is exposed to determine baseline control parameters pertaining to the scanning function. The baseline control parameters are retrieved from the monitor wafer. Parameter drift is determined from the baseline control parameters. Compensation is performed based on the determination. A different parameterization is used for control of the scanning control module than for communication between the scanning control module and the lithographic apparatus. | 08-25-2011 |
20110216294 | Lithographic Apparatus, Device Manufacturing Method and Associated Data Processing Apparatus and Computer Program Product - A lithographic apparatus operates by moving a substrate and a patterning device relative to each other in a sequence of movements such that a pattern is applied at a successive portions on the substrate. Each portion of the substrate is patterned by a scanning operation in which the patterning device is scanned through the radiation beam while synchronously scanning the substrate through the patterned radiation beam so as to apply the pattern to the desired portion on the substrate. An intrafield correction is applied during each scanning operation so as to compensate for distortion effects which vary during the scanning operation. The intrafield correction includes corrective variations of one or more properties of the projection system, and optionally out-of-plane movements of the patterning device and/or substrate table. | 09-08-2011 |
20110222037 | Exposure apparatus, exposure method, and device manufacturing method - An exposure apparatus comprises a liquid immersion system, a first mover, and a prescribed member. The exposure apparatus exposes a substrate via an optical member and a liquid. The liquid immersion system performs supply and recovery of the liquid. The first mover can move in a first area, and can hold the liquid between itself and the optical member. The prescribed member is removed from the first mover when the first mover withdraws from a position opposing the optical member, and can hold the liquid between itself and the optical member. | 09-15-2011 |
20110222038 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PLACING TABLE - A substrate processing apparatus, for performing a plasma process to a substrate (W) in a processing container held in a vacuum state, has a substrate placing table ( | 09-15-2011 |
20110235009 | SUB-RESOLUTION ASSIST DEVICES AND METHODS - Photolithographic apparatus, systems, and methods that make use of sub-resolution assist devices are disclosed. In the various embodiments, an imaging mask includes an optically transmissive substrate having a sub-resolution assist device that further includes a first optical attenuation region and a spaced-apart second optical attenuation region, and an optically transmissive phase adjustment region interposed between the first optical attenuation region and the second optical attenuation region, the phase adjustment region being configured to change a phase of incident illumination radiation by altering an optical property of the substrate. | 09-29-2011 |
20110242513 | SUBSTRATE PROCESSING METHOD, COMPUTER-READABLE STORAGE MEDIUM AND SUBSTRATE PROCESSING SYSTEM - A processing temperature of thermal processing is corrected based on measurement of a first dimension of a resist pattern on a substrate from a previously obtained relation between a dimension of a resist pattern and a temperature of thermal processing, a second dimension of the resist pattern after thermal processing is performed at the corrected processing temperature is measured, a distribution within the substrate of the second dimension is classified into a linear component expressed by an approximated curved surface and a nonlinear component, a processing condition of exposure processing is corrected based on the linear component from a previously obtained relation between a dimension of a resist pattern and a processing condition of exposure processing, and thermal processing at the processing temperature corrected in a temperature correcting step and exposure processing under the processing condition corrected in an exposure condition correcting step are performed to form a predetermined pattern. | 10-06-2011 |
20110255063 | Seamless Stitching of Patterns Formed by Interference Lithography - This invention addresses the scalability problem of periodic “nanostructured” surface treatments such as those formed by interference lithography. A novel but simple method is described that achieves seamless stitching of nanostructure surface textures at the pattern exposure level. The described tiling approach will enable scaling up of coherent nanostructured surfaces to arbitrary area sizes. Such a large form factor nanotechnology will be essential for fabricating large aperture, coherent diffractive elements. Other applications include high performance, antiglare/antireflection and smudge resistant Motheye treatments for display products such as PDA's, laptop computers, large screen TV's, cockpit canopies, instrument panels, missile and targeting domes, and, more recently, “negative-index” surfaces. Although ideal for seamless stitching of nanometer scale patterns, the technology is broadly applicable to any situation where an arbitrarily large area needs to be seamlessly tiled with a smaller base pattern that has periodic overlap able boundaries. | 10-20-2011 |
20110255064 | PHOTOLITHOGRAPHY METHOD AND DEVICE - A photolithography method includes projecting a light beam through a mask onto a photosensitive layer to form on the photosensitive layer an image of a mask pattern formed by the mask, and controlling a layer of active elements of the mask so that the light beam after having traversed the layer of active elements, reproduces the mask pattern onto the photosensitive layer. The active elements are distributed throughout the layer of active elements in conformance with a matrical organization of lines and columns, each active element being individually controllable to take a state transparent to the light of the light beam, or else a state opaque to or reflecting of the light of the light beam, as a function of a command signal supplied to the active element. | 10-20-2011 |
20110261336 | SYSTEM FOR PATTERNING FLEXIBLE FOILS - According to one aspect, the invention provides a table for compensating deformation in flexible foils ( | 10-27-2011 |
20110261337 | Photosensing device for digital stereo spliced picture projection imaging and operation method thereof - A photosensing device for digital stereo spliced picture projection imaging comprises a base ( | 10-27-2011 |
20110261338 | MICROLITHOGRAPHY PROJECTION SYSTEM WITH AN ACCESSIBLE DIAPHRAGM OR APERTURE STOP - The invention relates to a microlithography projection lens for wavelengths <=248 nm <=, preferably <=193 mm, in particular EUV lithography for wavelengths ranging from 1-30 nm for imaging an object field in an object plane onto an image field in an image plane, the microlithography projection lens developed in such a manner that provision is made for an accessible diaphragm plane, into which for instance an iris diaphragm can be introduced. | 10-27-2011 |
20110273684 | NANO-IMPRINT METHOD AND APPARATUS - There is provided a nanoimprint method for pressing a template having a pattern of a rugged or uneven shape, to a substrate coated with a curable resin the method including a measuring step for measuring positions of preselected sample measurement points of a predetermined number, which are set for object regions, respectively, of the substrate; a calculating step for performing statistical operations using the measurement positions of the sample measurement points as operation parameters thereby to calculate the deformed states of the object regions; a deforming step for deforming the template based on the deformed states of the object regions calculated at the calculating step; and a pressing step for pressing the deformed template onto the object regions. Accordingly, a nanoimprint method and a nanoimprint apparatus capable of forming a pattern highly precisely on a substrate are provided. | 11-10-2011 |
20110273685 | PRODUCTION OF AN ALIGNMENT MARK - A method of production of alignment marks uses a self-aligned double patterning process. An alignment mark pattern is provided with first and second sub-segmented elements. After selecting the dipolar illumination orientation, dipole-X is used to illuminate the pattern and to image the first elements on the wafer, but not the second elements. Alternatively, dipole-Y is used to illuminate the pattern and to image the second elements on the wafer, but not the first elements. In either case, self-aligned double patterning processing may then be performed to produce product-like alignment marks with high contrast and wafer quality (WQ). Subsequently the X and Y alignment marks thus produced are used for the step of alignment in a lithographic process. | 11-10-2011 |
20110273686 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD OF MANUFACTURING DEVICE - A scanning exposure apparatus measures levels of a substrate at a predetermined position on the substrate at a first measurement point during the acceleration period and a second measurement point during the constant velocity period, obtains a correction value for a measurement error due to factors associated with acceleration based on the measurement results, corrects the measured level using the obtained correction value and exposes the substrate so that the level at a given position on the substrate becomes equal to the corrected level, when the substrate is exposed at the given position after the level is measured while the stage accelerates, and exposes the substrate so that the level at a given position on the substrate becomes equal to the measured level measured, when the substrate is exposed after the level of the substrate at the given position is measured while the stage moves at a constant velocity. | 11-10-2011 |
20110273687 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a solid immersion lithography apparatus, the final element of the projection system is maintained at a distance of less than about 50 nm from the substrate by an actuator system. The final element may be formed as two parts, with a fluid, e.g. a liquid, confined between them. The actuator system may be controlled relative to a reference frame, which may be supported by a bearing. Backscatter detection can be used to determine if the distance between the final element and the substrate is too large. A cleaning device can clean the substrate between exposures. | 11-10-2011 |
20110273688 | METHOD AND DEVICE FOR IMAGING A RADIATION-SENSITIVE SUBSTRATE - A method and apparatus for imaging a radiation-sensitive substrate, wherein a programmable template is projected onto the radiation-sensitive substrate using coherent radiation having a wavelength at which the substrate is radiation sensitive. An overall image of the programmable template having an image grid is displaced simultaneously by an acoustic-optical or electro-optical deflection unit such that multiple projections impinge on the substrate at different positions such that a fine partial grid is created in the image grid on the substrate. | 11-10-2011 |
20110279797 | APPARATUS AND METHOD FOR CALIBRATING LITHOGRAPHY PROCESS - A calibration wafer may bear one or more different mark types to facilitate inspection of a lithography process. A first mark type may be located on the outer peripheral portion of the wafer to indicate the desired boundary of an edge bead removal (EBR) region. A second mark type may be located on an outer peripheral portion of the wafer to indicate the desired boundary of a wafer edge expose region (WEE). A third mark type may indicate the border of a portion of the wafer expected to bear a wafer identification mark. A fourth mark type may be located at the center of the wafer to allow for precise and uniform application of liquid photoresist material to the calibration wafer. The calibration wafer may be employed in methods of rapidly and easily assessing the accuracy of various phases of photolithography processes. | 11-17-2011 |
20110279798 | Method of exposing a semiconductor wafer and exposure apparatus - An exposure method includes the following processes. An autofocus scan process is performed to detect a defocused portion of a first resist film over a semiconductor wafer and to generate a detection signal that indicates the defocused portion detected. A first exposure scan process is performed while selectively blinding the first resist film, with reference to a detection signal related to the defocused portion detected. | 11-17-2011 |
20110279799 | EUV Lithography Device and Method For Processing An Optical Element - An EUV lithography device including an illumination device for illuminating a mask at an illumination position in the EUV lithography device and a projection device for imaging a structure provided on the mask onto a light-sensitive substrate. The EUV lithography device has a processing device ( | 11-17-2011 |
20110279800 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus is disclosed in which a space between the projection system and a sensor is filled with a liquid. | 11-17-2011 |
20110299052 | Measuring apparatus and method, processing apparatus and method, pattern forming apparatus and method, exposure apparatus and method, and device manufacturing method - Position information of a movable body within an XY plane is measured with high accuracy by an encoder system whose measurement values have favorable short-term stability, without being affected by air fluctuations, and also position information of the movable body in a Z-axis direction orthogonal to the XY plane is measured with high accuracy by a surface position measuring system, without being affected by air fluctuations. In this case, since both of the encoder system and the surface position measuring system directly measure the upper surface of the movable body, simple and direct position control of the movable body can be performed. | 12-08-2011 |
20110310368 | METHOD AND SYSTEM FOR THERMALLY CONDITIONING AN OPTICAL ELEMENT - A method for thermally conditioning an optical element includes irradiating the optical element with radiation, not-irradiating the optical element with the radiation, allowing heat flow between the optical element and a conditioning fluid that is held in a conditioning fluid reservoir, and providing a fluid flow of the conditioning fluid, to supply thermally conditioned fluid to the reservoir. A flow rate of the fluid during the irradiating of the optical element is lower than a flow rate of the fluid when the optical element is not-irradiated. | 12-22-2011 |
20110310369 | LITHOGRAPHIC METHOD AND APPARATUS - A lithographic method for irradiating resist on a substrate, the resist filling a region located between a first element located on the substrate, and a second element located on the substrate, the first element having a first length, a first width, and a first height, the second element having a second length, a second width, and a second height, the first height being substantially equal to the second height, the first length being substantially parallel to the second length, and extending in a first direction, a distance between facing sidewalls of the first element and the second element that defines the region filled with resist being less than a wavelength of radiation used to irradiate the resist, the method including irradiating the resist with elliptically polarized radiation, the elliptically polarized radiation being configured such that, at the first height and second height, the elliptically polarized radiation is polarized perpendicular to the first direction, substantially perpendicular to the first and second lengths. | 12-22-2011 |
20110310370 | Device And Method For Processing Light-Polymerizable Material For Building Up An Object In Layers - A method and a device for processing light-polymerizable material for the assembly of a mold, utilizing a lithography-based generative manufacturing technique wherein a layer of a light-polymerizable material, the material being located in at least one trough ( | 12-22-2011 |
20110310371 | SYSTEM AND METHOD EMPLOYING SECONDARY BACK EXPOSURE OF FLEXOGRAPHIC PLATE - A system for forming a relief image on a flexographic print plate comprises a laminator configured to laminate an imaged mask having a mask image to a front surface of a flexographic printing plate precursor. The mask image has mask image areas, each having a highlight value. The system also includes a main exposure unit configured to expose the flexographic printing plate precursor to curing radiation through the imaged mask, and a secondary exposure unit configured to expose selected areas of the flexographic printing plate precursor to curing radiation via a back surface of the flexographic printing plate precursor based on highlight values of corresponding mask image areas of the mask image. | 12-22-2011 |
20110317140 | PROJECTION EXPOSURE APPARATUS FOR SEMICONDUCTOR LITHOGRAPHY INCLUDING AN ACTUATOR SYSTEM - The disclosure relates to a projection exposure apparatus for semiconductor lithography which includes an actuator system to mechanically actuate a component of the projection exposure apparatus. The actuator system has at least one mechanism to reduce and/or dampen the heat input into the component that is due to heat arising during the operation of the actuator system. | 12-29-2011 |
20120002182 | Lithographic Apparatus and Method - A lithographic apparatus includes a projection system configured to project a patterned beam of radiation onto a substrate. The projection system being provided with an opening through which the patterned beam of radiation may pass. At least part of the opening comprises a sloped surface of a wall of the projection system and a sloped surface of a mirror of the projection system. | 01-05-2012 |
20120008120 | Exposure method, color filter manufacturing method, and exposure device - An exposure method is provided, in which when exposure is performed using a photomask having a plurality of mask patterns, various mask patterns corresponding to various different color filters are exposed in different regions on a substrate, without moving the photomask to an irradiation area in an exposure device. A photomask, having a first mask pattern for exposing a portion of colored pixels constituting a first color filter and a second mask pattern for exposing a portion of colored pixels constituting a second color filter, is fixed with respect to a light source. A light beam from a light source is selectively directed to the first mask pattern while transferring the substrate, to continuously expose a resist in a first region, and the light beam from the light source is selectively directed to the second mask pattern while transferring the substrate, to continuously expose a resist in a second region. | 01-12-2012 |
20120008121 | ACTUATOR INCLUDING MAGNET FOR A PROJECTION EXPOSURE SYSTEM AND PROJECTION EXPOSURE SYSTEM INCLUDING A MAGNET - The present disclosure relates to an actuator for projection exposure systems that include a magnet. The magnet is encapsulated and/or supported in a magnet holding plate that is produced by microtechnical production methods so that a moving manipulator surface is held in the magnet holding plate via monolithic or bonded connections without additional connecting material so that there is a secure connection. | 01-12-2012 |
20120019793 | EXPOSURE APPARATUS INCLUDING THE EXPOSURE HEAD AND CONTROL METHOD THEREOF - According to example embodiments, a method of operating an exposure apparatus including a stage having a plurality of beam measurement devices, and an exposure head unit having a first set of exposure heads and a second set of exposure heads includes measuring a position of a first exposure head of the first set of exposure heads by moving the stage to coincide a first beam measurement device of the plurality of beam measurement devices with the first exposure head, setting the measured position of the first exposure head as a reference position, and measuring positions of the second set of exposure heads with respect to the reference position. | 01-26-2012 |
20120026477 | Lithographic Apparatus, Aberration Detector and Device Manufacturing Method - An aberration detector for a lithographic apparatus is used. An imaging device captures an image of at least one pinhole feature of a target projected onto the imaging device by the projection system of the lithographic apparatus at two different locations separated in a direction parallel to the optical axis of the projection system. A controller obtains a representation of the aberration of the projection system from the captured images. | 02-02-2012 |
20120026478 | System and Method for Manufacturing Three Dimensional Integrated Circuits - System and method for manufacturing three-dimensional integrated circuits are disclosed. In one embodiment, the method includes providing an imaging writer system that includes a plurality of spatial light modulator (SLM) imaging units arranged in one or more parallel arrays, receiving mask data to be written to one or more layers of the three-dimensional integrated circuit, processing the mask data to form a plurality of partitioned mask data patterns corresponding to the one or more layers of the three-dimensional integrated circuit, assigning one or more SLM imaging units to handle each of the partitioned mask data pattern, and controlling the plurality of SLM imaging units to write the plurality of partitioned mask data patterns to the one or more layers of the three-dimensional integrated circuits in parallel. The method of assigning performs at least one of scaling, alignment, inter-ocular displacement, rotational factor, or substrate deformation correction. | 02-02-2012 |
20120026479 | OPTICAL IMAGING DEVICE AND METHOD FOR REDUCING DYNAMIC FLUCTUATIONS IN PRESSURE DIFFERENCE - There is provided an optical imaging device, in particular for microlithography, comprising at least one optical element and at least one holding device associated to the optical element ( | 02-02-2012 |
20120044470 | Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method - A pattern from a patterning device is applied to a substrate. The applied pattern includes device functional areas and metrology target areas. Each metrology target area comprises a plurality of individual grating portions, which are used for diffraction based overlay measurements or other diffraction based measurements. The gratings are of the small target type, which is small than an illumination spot used in the metrology. Each grating has an aspect ratio substantially greater than 1, meaning that a length in a direction perpendicular to the grating lines which is substantially greater than a width of the grating. Total target area can be reduced without loss of performance in the diffraction based metrology. A composite target can comprise a plurality of individual grating portions of different overlay biases. Using integer aspect ratios such as 2:1 or 4:1, grating portions of different directions can be packed efficiently into rectangular composite target areas. | 02-23-2012 |
20120044471 | Lithographic Apparatus and Method - A method of projecting a patterned beam onto a substrate using an EUV lithographic apparatus having a projection system including a plurality of mirrors. The method includes the following steps. Using the projection system to project the patterned beam onto the substrate while moving a final mirror of the projection system in a direction substantially perpendicular to the surface of the substrate. Rotating the final mirror to substantially compensate for unwanted translation of the projected patterned radiation beam on the substrate due to the movement of the mirror. | 02-23-2012 |
20120057140 | EXPOSURE APPARATUS, MOVABLE BODY APPARATUS, FLAT-PANEL DISPLAY MANUFACTURING METHOD, AND DEVICE MANUFACTURING METHOD - In a substrate stage, when a Y coarse movement stage moves in the Y-axis direction, an X coarse movement stage, a weight cancellation device, and an X guide move integrally in the Y-axis direction with the Y coarse movement stage, and when the X coarse movement stage moves in the X-axis direction on the Y coarse movement stage, the weight cancellation device moves on the X guide in the X-axis direction integrally with the X coarse movement stage. Because the X guide is provided extending in the X-axis direction while covering the movement range of the weight cancellation device in the X-axis direction, the weight cancellation device is constantly supported by the X guide, regardless of its position. Accordingly, a substrate can be guided along the XY plane with good accuracy, even if a member (for example, a surface plate and the like) that has a guide surface large enough to cover the total movement range of the weight cancellation device is not provided. | 03-08-2012 |
20120057141 | PATTERN FORMING METHOD AND APPARATUS, EXPOSURE METHOD AND APPARATUS, AND DEVICE MANUFACTURING METHOD AND DEVICE - During a period after starting exposure to a plurality of shot areas subject to exposure on a wafer until completing the exposure, a light via a slit pair arranged on a stage that holds the wafer, of illumination light via a pattern generating device, is received, and information on a positional relation between an illumination light and the stage (and hence a positional relation between the illumination light and the wafer) is detected. With this operation, even if the information on the positional relation between the illumination light and the wafer varies due to some reason, information on the variation can be detected while performing the exposure to the plurality of shot areas. Accordingly, high-precision exposure can be achieved in an exposure operation, by considering this detection results. | 03-08-2012 |
20120069311 | Passivation of Multi-Layer Mirror for Extreme Ultraviolet Lithography - A reflector structure suitable for extreme ultraviolet lithography (EUVL) is provided. The structure comprises a substrate having a multi-layer reflector. A capping layer is formed over the multi-layer reflector to prevent oxidation. In an embodiment, the capping layer is formed of an inert oxide, such as Al | 03-22-2012 |
20120081681 | DRAWING DEVICE AND DRAWING METHOD - A drawing device draws a pattern on a substrate by radiating light from an optical head part on a target object (for example, substrate) which relatively moves with respect to the optical head part. Here, the optical head part has a spatial modulating unit which spatially modulates light from a light source, based on pattern data, and an optical path corrector which shifts the route of light spatially modulated in the spatial modulating unit at precision subdivided more than units of spatial modulation in the spatial modulating unit (more specifically, for example, units of pixels of spatial light modulator). | 04-05-2012 |
20120086930 | PHOTOLITHOGRAPHY EXPOSURE APPARATUS HAVING BLINDING PLATES AND METHOD OF DRIVING THE SAME - An exposure apparatus includes a light source for providing bursts of photolithographic exposure light, a mask for applying a pattern to the photolithographic exposure light, a variable length blind for blocking parts of an exposure window from receiving the photolithographic exposure light and a blind driver for controllably driving the variable length blind. The blind includes a plurality of movable blocking plates. The blind driver includes a plurality of motors and a motor control unit which are structured to rapidly return one or more of the blocking plates through the exposure window in a time duration between the bursts of photolithographic exposure light so that a return stain is not formed on the substrate. In one embodiment, the substrate is a mother substrate having a plurality of LCD daughter substrates being formed thereon. | 04-12-2012 |
20120092632 | DIFFRACTION UNLIMITED PHOTOLITHOGRAPHY - Methods, devices, systems, and materials are disclosed for diffraction unlimited photofabrication. A method is provided where a photoresponsive material is illuminated with a first optical pattern at a first wavelength of light. The first wavelength of light alters a solubility of the photoresponsive organic material. The photoresponsive material is also illuminated with a second optical pattern at a second wavelength of light. The second wavelength of light hinders the ability of the first wavelength of light to alter the solubility of the photoresponsive organic material where the second optical pattern overlaps the first optical pattern. The photoresponsive organic material is then developed. | 04-19-2012 |
20120092633 | REFLECTIVE FILM INTERFACE TO RESTORE TRANSVERSE MAGNETIC WAVE CONTRAST IN LITHOGRAPHIC PROCESSING - A system for exposing a resist layer to an image that includes a layer reflective to imaging tool radiation and a resist layer having a region of photosensitivity over the reflective layer. An imaging tool projects radiation containing an aerial image onto the resist layer, with a portion of the radiation containing the aerial image passing through the resist and reflecting back to the resist to form an interference pattern of the projected aerial image through the resist layer thickness. The thickness and location of the resist layer region of photosensitivity are selected to include from within the interference pattern higher contrast portions of the interference pattern in the direction of the resist thickness, and to exclude lower contrast portions of the interference pattern in the resist thickness direction from said resist layer region of photosensitivity, to improve contrast of the aerial image in said resist layer region of photosensitivity. | 04-19-2012 |
20120099090 | SYSTEM AND METHODS RELATED TO GENERATING ELECTROMEGNETIC RADIATION INTERFERENCE PATTERNS - Systems and methods related to the generation of interference patterns using electromagnetic radiation are generally described. Some embodiments are directed to the use of such systems and methods to perform interference lithography. | 04-26-2012 |
20120113403 | EXPOSURE METHOD AND EXPOSURE APPARATUS - In the present invention, when exposure on a first exposure area of the subject to be exposed by using a first mask pattern group of a photomask, is completed, the shutter is moved in synchronization with a conveying speed of the subject to be exposed to shut off source light, the subject to be exposed is returned by a distance in which the subject to be exposed moves while the shutter moves, and the mask pattern group is switched to a second mask pattern group by moving the photomask. When the switching of the mask pattern group of the photomask is completed, the conveying of the subject to be exposed is restarted. At the same time, the shutter is moved in synchronization with the conveying speed of the subject to be exposed to release the shut off of the source light, and exposure on a second exposure area is performed. | 05-10-2012 |
20120120380 | LOW AND HIGH PRESSURE PROXIMITY SENSORS - A fluid proximity sensor for surface measurements having a measurement chamber ( | 05-17-2012 |
20120127442 | DETERMINING LITHOGRAPHIC SET POINT USING OPTICAL PROXIMITY CORRECTION VERIFICATION SIMULATION - The subject matter disclosed herein relates to determining a lithographic set point using simulations of optical proximity correction verification. In one embodiment, a computer-implemented method of determining a lithographic tool set point for a lithographic process is disclosed. The method may include: providing a model of a production lithographic process including simulations of printed shapes; analyzing the model of the production lithographic process to determine whether a set of structures on a production mask used in the production lithographic process to create the printed shapes will fail under a plurality of set points; determining an operating region of set points where the set of structures on the production mask does not fail; and establishing a set point location within the operating region based upon a set point selection function. | 05-24-2012 |
20120127443 | METHOD OF PRODUCING A RELIEF IMAGE FOR PRINTING - The present invention involves a method for making a relief image. A film that includes a carrier sheet and an imageable material is used to form a mask image that is opaque to a curing radiation. In one embodiment, the mask image is formed on the carrier sheet while in another embodiment, the mask image is formed on a receptor sheet. The mask image is then transferred to a photosensitive material, such as a flexographic printing plate precursor. The resulting assembly is exposed to the curing radiation resulting in exposed and unexposed areas of the photosensitive material. The carrier sheet or the receptor sheet may be removed from the mask image either before or after exposure to the curing radiation. Finally, the photosensitive material and mask image assembly is developed with a suitable developer to form a relief image. | 05-24-2012 |
20120133914 | METHOD OF OPERATING A PATTERNING DEVICE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic reticle is illuminated to transfer a pattern to a substrate, inducing distortions due to heating. The distortions are calculated using reference marks in a peripheral portion of the reticle and measuring changes in their relative positions over time. A plurality of cells are defined for which a system of equations can be solved to calculate a dilation of each cell. In an embodiment, each equation relates positions of pairs of marks to dilations of the cells along a line (s, s | 05-31-2012 |
20120140193 | DYNAMIC WAFER ALIGNMENT METHOD IN EXPOSURE SCANNER SYSTEM - A dynamic wafer alignment method and an exposure scanner system are provided. The exposure scanner system having a scan path, includes an exposure apparatus, an optical sensor apparatus and a wafer stage. The method comprises the steps of: (a) providing a wafer, having a plurality of shot areas, wherein each shot area has a plurality of alignment marks thereon; (b) forming a photo-resist layer on the wafer; (c) detecting the alignment marks at a portion of a shot area along the scan path by the optical sensor apparatus to obtain compensation data for wafer alignment of the portion of the shot area; (d) performing real time feedback of the compensation data for wafer alignment to the wafer stage; (e) exposing the photo-resist layer at the portion of the shot area along the scan path; (f) continuously repeating the steps (c) to (e) at the shot area along the scan path until all of the photo-resist layer at the shot area are exposed; and (g) repeating the step (f) until the photo-resist layer of all of the shot areas on the wafer are exposed. | 06-07-2012 |
20120147343 | ALIGNMENT METHOD, ALIGNMENT APPARATUS, AND EXPOSURE APPARATUS - In the present invention, a number of times the brightness changes detected at the same position while a substrate conveys are added up in the conveying direction, thereby obtaining a plurality of edge count data, and then, a plurality of positions of long sides of patterns parallel to the conveying direction is identified based on the plurality of edge count data exceeding a predetermined threshold value, middle point positions of a plurality of proximity pairs are calculated, and a middle point position close to the target position preset in the imaging device is selected from the plurality of middle point positions of the proximity pairs, an amount of position displacement between the selected middle point position and the target position of imaging device is calculated, and the photomask in the direction substantially perpendicular to the conveying direction so that the amount of position displacement is a predetermined value. | 06-14-2012 |
20120154774 | Lithographic Apparatus and Device Manufacturing Method - Lithography apparatus and device manufacturing methods are disclosed in which means are provided for reducing the extent to which vibrations propagate between a first element of a projection system and a second element of a projection system. Approaches disclosed include the use of plural resilient members in series as part of a vibration isolation system, plural isolation frames for separately supporting first and second projection system frames, and modified connection positions for the interaction between the first and second projection system frames and the isolation frame(s). | 06-21-2012 |
20120162622 | FIELD EXTENSION TO REDUCE NON-YIELDING EXPOSURES OF WAFER - Techniques are provided for efficient lithography processing and wafer layout. In particular, the techniques can be used to reduce the number of sacrificial exposures along the wafer perimeter region. In one example embodiment, an exposure system reticle is configured with both a normal area (die yielding area) and a dumification area (non-yielding area at wafer perimeter), thereby allowing for lithographic processing in the non-yielding areas sufficient to facilitate successful processing in the adjacent die yielding areas, but without requiring additional sacrificial exposures. This reduction in sacrificial exposures translates to a significant improvement in fab capacity. The techniques can be implemented, for example, on any number of lithography tools having an adjustable reticle or reticle blind capability and in the context of any technology nodes, such as 95 nm and smaller. The lithography tool may produce wafers at a faster rate. | 06-28-2012 |
20120170010 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus which projects a pattern of an original onto a substrate via a liquid to expose the substrate, includes a substrate stage which holds the substrate and moves, the substrate stage including a peripheral member arranged to surround a region in which the substrate is arranged, the peripheral member having a holding surface which holds the liquid, wherein a trench which traps the liquid is formed in the peripheral member, and the trench is arranged to surround the region in which the substrate is arranged, and includes a bottom portion, an inner-side surface extending from the holding surface toward the bottom portion, and an outer-side surface, the inner-side surface having a slant which increases stepwise or continuously in a direction away from the holding surface, and the outer-side surface is provided with a spattering preventing portion which prevents spattering of the liquid trapped by the trench. | 07-05-2012 |
20120170011 | ALIGNMENT METHOD, ALIGNMENT APPARATUS, AND EXPOSURE APPARATUS - In the present invention, the number of brightness changes detected at the same position while a substrate moves by a certain distance is added up, a plurality of edge count data arranged corresponding to the detected positions of the brightness changes is obtained, a plurality of correlation value data by performing a correlation operation for the plurality of calculated edge count data while moving a template is obtained, positions of the plurality of patterns based on a plurality of correlation value data exceeding a predetermined threshold value are identified, a position of a pattern close to a target position of an imaging device is selected, and a photomask is moved in the direction substantially orthogonal to the conveying direction of the substrate so that the amount of the position displacement between the selected position of the pattern and the target position of the imaging device is a predetermined value. | 07-05-2012 |
20120188522 | REFLECTIVE OPTICAL COMPONENTS FOR LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A reflective optical component is configured to reflect EUV radiation. The reflective optical component has a reflective layer with a bimetal cap layer of differing first and second metals selected to ensure that the outer surface of the cap layer is substantially unreactive or non-adsorptive to sulfur. The bimetal cap layer may be an alloy of the two metals or may consist of a base layer of the first metal deposited on the reflective layer and a surface layer of the second metal on the base layer. The interaction of the two metals may lead to modification of the bonding energy to the outer face of the cap layer of sulfur-containing molecules such as SO | 07-26-2012 |
20120194791 | DEVICE AND METHOD FOR PROCESSING PRINTING SUBSTRATE WEB INTO PRINTED PRODUCTS - A method for processing a web to form printed products includes affixing a print mark assigned to a printed sheet of the web to a useful strip of the web. The print mark includes a coded print mark. An image is recorded that shows a region of the web in which the affixed print mark is located. The print mark in recorded image is searched for and identified. A position of the print mark in the recorded image is determined, and based on the determined position, the position of the print mark on the web is inferred. A correction value is determined for synchronizing a subsequent further processing of the web in dependence on the position of the print mark on the web. | 08-02-2012 |
20120206700 | APPARATUS FOR MANUFACTURING A PHOTOMASK - A method for manufacturing a photomask based on design data includes the steps of forming a figure element group including a figure element in a layout pattern on the photomask and a figure element affecting the figure element due to the optical proximity effect, adding identical identification data to a data group indicating an identical figure element group, estimating an influence of the optical proximity effect on the figure element group, generating correction data indicating a corrected figure element in which the influence of the optical proximity effect is compensated for at the time of exposure, creating figure data by associating data having the identical identification data with correction data having the identical identification data, and forming a mask pattern on the photomask using figure data. Thus, the computation time for correction of the layout can be reduced, thereby reducing the production time of the photomask. | 08-16-2012 |
20120212714 | MANUFACTURING DEVICE OF A FILM PATTERNED RETARDER FOR A THREE DIMENSIONAL DISPLAY DEVICE - The present disclosure relates to a manufacturing device of a film patterned retarder for a three dimensional display devices. The present disclosure suggests a device for manufacturing a film patterned retarder comprising: a light source configured to irradiate an ultra violet light to an exposure area; a left polarizer and a right polarizer disposed in neighboring on a same leveled plane within the exposure area; a left pattern mask overlapping with the left polarizer and a right pattern mask overlapping with the right polarizer; and a film roller configured to rotate with a constant speed, to contact a base film on its surface and to move the base film with the constant speed. The manufacturing process is very simple and the cost for manufacturing the film patterned retarder according to the present disclosure is saved. | 08-23-2012 |
20120212715 | LITHOGRAPHIC APPARATUS AND LITHOGRAPHIC PROJECTION METHOD - A lithographic apparatus, includes an illumination system configured to condition a radiation beam, a first support constructed to support a first patterning device and a second support to support a second patterning device, the first and second patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam, a substrate table constructed to hold a substrate, and a projection system configured to project the patterned radiation beam onto a target portion of the substrate. The first support and second support are movable in a scanning direction and in a second direction substantially perpendicular to the scanning direction. By movement of the first support and second support in the second direction the first support and second support can selectively be aligned with the projection system. | 08-23-2012 |
20120212716 | OPTICAL ELEMENT AND EXPOSURE APPARATUS - An optical element is used for an exposure apparatus which is configured to illuminate a mask with an exposure light beam for transferring a pattern on the mask onto a substrate through a projection optical system and to interpose a given liquid in a space between a surface of the substrate and the projection optical system. The optical element includes a first anti-dissolution member provided on a surface of a transmissive optical element on the substrate's side of the projection optical system. | 08-23-2012 |
20120212717 | EXPOSURE APPARATUS AND PHOTO MASK - The present invention provides an exposure apparatus in which a photo mask having a plurality of openings, each having a predetermined shape, formed in a light shielding film mounted on one surface of a transparent substrate | 08-23-2012 |
20120218533 | METHOD OF CALCULATING MODEL PARAMETERS OF A SUBSTRATE, A LITHOGRAPHIC APPARATUS AND AN APPARATUS FOR CONTROLLING LITHOGRAPHIC PROCESSING BY A LITHOGRAPHIC APPARATUS - Estimating model parameters of a lithographic apparatus and controlling lithographic processing by a lithographic apparatus includes performing an exposure using a lithographic apparatus projecting a pattern onto a wafer. A set of predetermined wafer measurement locations is measured. Predetermined and measured locations of the marks are used to generate radial basis functions. Model parameters of said substrate are calculated using the generated radial basis functions as a basis function across said substrate. Finally, the estimated model parameters are used to control the lithographic apparatus in order to expose the substrate. | 08-30-2012 |
20120218534 | LITHOGRAPHIC APPARATUS, A METHOD OF CONTROLLING THE APPARATUS AND A DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is disclosed having a projection system configured to direct a patterned beam of radiation onto a substrate and a liquid handling system configured to supply and confine immersion liquid to a space defined between the projection system and a substrate, or a substrate table, or both. A controller is provided to adjust an angle of a lower surface of the liquid handling system relative to the top surface of the substrate during motion of the substrate and/or substrate table relative to the liquid handling system dependent upon a position of the substrate and/or substrate table relative to the liquid handling system and/or a direction of relative movement between the substrate and/or substrate table and the liquid handling system. | 08-30-2012 |
20120218535 | METHOD AND DEVICE FOR A SPATIALLY RESOLVED INTRODUCTION OF AN INTENSITY PATTERN COMPRISING ELECTRO-MAGNETIC RADIATION INTO A PHOTOSENSITIVE SUBSTANCE AS WELL AS APPLICATIONS THEREOF - A method for the spatially resolved introduction of an intensity pattern of electro-magnetic radiation by at least one optic display system into a photosensitive substance having properties which can be changed by photon exposure. These properties include a first, liquid and at least one second state, with the electro-magnetic radiation being conducted via the optic display system into the photosensitive substance and here being projected on predetermined spatial coordinates, in order to create at or in an area of these spatial coordinates a change of the properties of the substance. A surface of an objective lens of the optic display system, through which the electro-magnetic radiation | 08-30-2012 |
20120218536 | CATADIOPTRIC PROJECTION OBJECTIVE INCLUDING A REFLECTIVE OPTICAL COMPONENT AND A MEASURING DEVICE - A catadioptric projection objective for images an object field onto an image field via imaging radiation. The projection objective includes at least one reflective optical component and a measuring device. The reflective optical component, during the operation of the projection objective, reflects a first part of the imaging radiation and transmits a second part of the imaging radiation. The reflected, first part of the imaging radiation at least partly contributes to the imaging of the object field. The transmitted, second part of the imaging radiation is at least partly fed to a measuring device. This allows a simultaneous exposure of the photosensitive layer at the location of the image field with the imaging radiation and monitoring of the imaging radiation with the aid of the measuring device. | 08-30-2012 |
20120218537 | EXPOSURE APPARATUS AND PHOTOMASK USED THEREIN - In an exposure apparatus, a photomask | 08-30-2012 |
20120224158 | MOVABLE BODY DRIVE METHOD AND SYSTEM, PATTERN FORMATION METHOD AND APPARATUS, EXPOSURE METHOD AND APPARATUS FOR DRIVING MOVABLE BODY BASED ON MEASUREMENT VALUE OF ENCODER AND INFORMATION ON FLATNESS OF SCALE, AND DEVICE MANUFACTURING METHOD - A drive unit drives a wafer stage in a Y-axis direction based on a measurement value of an encoder that measures position information of the wafer stage in the Y-axis direction and based on information on the flatness of a scale that is measured by the encoder. In this case, the drive unit can drive the wafer stage in a predetermined direction based on a measurement value after correction in which a measurement error caused by the flatness of the scale included in the measurement value of the encoder is corrected based on the information on the flatness of the scale. Accordingly, the wafer stage can be driven with high accuracy in a predetermined direction using the encoder, without being affected by the unevenness of the scale. | 09-06-2012 |
20120224159 | METHOD AND APPARATUS FOR PATTERNING A DISK - An apparatus to carry out patterning of a disk includes a rotatable mask having a cone shape and a nanopattern on an exterior surface of said mask and a radiation source configured to supply radiation of a wavelength of 436 nm or less from said nanopattern, while said nanopattern is in contact with a radiation-sensitive layer of material. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 09-06-2012 |
20120236277 | CATADIOPTRIC PROJECTION OBJECTIVE COMPRISING DEFLECTION MIRRORS AND PROJECTION EXPOSURE METHOD - A catadioptric projection objective has a multiplicity of lenses and at least one concave mirror, and also two deflection mirrors in order to separate a partial beam path running from the object field to the concave mirror from the partial beam path running from the concave mirror to the image field. The deflection mirrors are tilted relative to the optical axis of the projection objective about tilting axes running parallel to a first direction (x-direction). The first deflection mirror is arranged in optical proximity to a first field plane and the second deflection mirror is arranged in optical proximity to a second field plane, which is optically conjugate with respect to the first field plane. A displacement device for the synchronous displacement of the deflection mirrors is provided. The deflection mirrors have different local distributions of their reflection properties in first and second reflection regions, respectively. | 09-20-2012 |
20120236278 | IMAGE PROCESSING-BASED LITHOGRAPHY SYSTEM AND METHOD OF COATING TARGET OBJECT - A technique related with a lithography system is disclosed. The lithography system includes at least one target object disposed on a substrate, a processor configured to process an image of the target object to determine an optical pattern for a coating layer of the target object, and an exposure apparatus configured to provide light having the optical pattern determined by the processor to the substrate. | 09-20-2012 |
20120236279 | Mask Pattern Correction Device, Method of Correcting Mask Pattern, Light Exposure Correction Device, and Method of Correcting Light Exposure - In view of realizing a lithographic process which makes it possible to estimate and correct flare with an extremely high accuracy, and causes only an extremely small dimensional variation in width, over the entire portion not only of a single shot region, but also of a single chip region, a mask pattern correction device of the present invention has a numerical aperture calculation unit calculating, for every single shot region, flare energy for a mask pattern corresponding to a transferred pattern, based on an exposure layout of a plurality of shot regions, or more specifically, while considering flare from a plurality of shot regions located around every single shot region. | 09-20-2012 |
20120249983 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An apparatus, in an embodiment, having a patterning device support including a first planar element having a first flow-restricting surface; a second planar element including a second flow-restricting surface facing the first flow-restricting surface; a support driver to linearly move the support along a certain direction relative to the second planar element, wherein the first and/or second flow-restricting surface has one or more projections and/or recesses between the first and second flow-restricting surfaces, and wherein the projection and/or recess on the first and/or second flow-restricting surface is arranged to provide a flow resistance, per unit width of the first and/or second flow-restricting surface perpendicular to the flow, that is lower against flow that is parallel to the certain direction than against flow that is perpendicular to the certain direction. The flow-restricting surfaces may direct gas flow onto a driver part that generates heat. | 10-04-2012 |
20120249984 | LITHOGRAPHY SYSTEM WITH DIFFERENTIAL INTERFEROMETER MODULE - The invention relates to a lithography system comprising an optical column, a moveable target carrier for displacing a target such as a wafer, and a differential interferometer module, wherein the interferometer module is adapted for emitting three reference beams towards a first mirror and three measurement beams towards a second mirror for determining a displacement between said first and second mirror. In a preferred embodiment the same module is adapted for measuring a relative rotation around two perpendicular axes as well. The present invention further relates to an interferometer module and method for measuring such a displacement and rotations. | 10-04-2012 |
20120249985 | MEASUREMENT OF AN IMAGING OPTICAL SYSTEM BY SUPERPOSITION OF PATTERNS - A device for measuring an imaging optical system, including: a first grating pattern ( | 10-04-2012 |
20120262686 | Optical Imaging Writer System - System and method for applying mask data patterns to substrate in a lithography manufacturing process are disclosed. In one embodiment, the method includes providing a parallel imaging writer system having a plurality of spatial light modulator (SLM) imaging units arranged in one or more parallel arrays, receiving a mask data pattern to be written to a substrate, processing the mask data pattern to form a plurality of partitioned mask data patterns corresponding to different areas of the substrate, identifying objects in an area of the substrate to be imaged by corresponding SLMs, selecting evaluation points along edges of the objects, configuring the parallel imaging writer system to image the objects using the evaluations points, and performing multiple exposures to image the objects in the area of the substrate by controlling the plurality of SLMs to write the plurality of partitioned mask data patterns in parallel. | 10-18-2012 |
20120274913 | ENHANCED CONTRAST PIN MIRROR FOR LITHOGRAPHY TOOLS - A contrasting surface surrounding the pin minor when measuring aberrations of a lithographic projection system. By using a surrounding surface having a different reflectivity characteristic relative to the pin minor, the reflected wave front contains predominately single-pass aberration content because the amount of double-pass content is significantly reduced. As a result, the aberration measurement performed by a measurement system is more accurate. | 11-01-2012 |
20120274914 | Variable Data Lithography System for Applying Multi-Component Images and Systems Therefor - A reimageable layer of an imaging member is provided with a dampening fluid layer. The reimageable layer has specific properties such as composition, surface profile, and so on so as to be well suited for receipt and carrying the dampening fluid layer. An optical patterning subsystem such as a scanned modulated laser patterns the dampening fluid layer. Ink having a first set of properties such as color, composition, etc., is applied at an inking subsystem such that it selectively resides in voids formed by the patterning subsystem in the dampening fluid layer to thereby form an inked latent image. The inked latent image is then transferred to a substrate, and the reimageable surface cleaned. The process is repeated for a second ink having properties different than the first. Each ink image may successively be applied to the substrate, or a composite image may be formed then applied to the substrate. | 11-01-2012 |
20120274915 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure method comprises: forming an immersion region on a substrate; exposing the substrate by irradiating the substrate with an exposure light via a liquid of the immersion region; and preventing an integration value of a contact time during which the liquid of the immersion region and a first region on the substrate are in contact, from exceeding a predetermined tolerance value. | 11-01-2012 |
20120274916 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An apparatus comprises a grouping unit dividing substrates into groups, and determining reference and non-reference substrates for each group, a measurement unit measuring a first number of points for the reference substrate, and measuring a second number, smaller than the first number, of points for the non-reference substrate, a correction value determining unit determining a first correction value to position the reference substrate, and a second correction value to position the non-reference substrate, and an exposure unit exposing the reference substrate by positioning it based on the first correction value, and exposing the non-reference substrate by positioning it based on the second correction value, the correction value determining unit determining the first correction value based on the measurement of the reference substrate, and determining the second correction value based on the measurement of the non-reference substrate, and the measurement of the reference substrate or the first correction value. | 11-01-2012 |
20120293782 | Methods and Systems for Lithography Alignment - Methods and systems for lithographically exposing a substrate based on a curvature profile of the substrate. | 11-22-2012 |
20120300181 | IMMERSION SYSTEM, EXPOSURE APPARATUS, EXPOSING METHOD, AND DEVICE FABRICATING METHOD - An immersion system is used in liquid immersion exposure. The immersion system includes: a first member, which is disposed around the optical path of the exposure light and has a first surface that faces in a first direction; a second member that has a liquid recovery port, which is disposed on the outer side of the first surface with respect to the optical path of the exposure light; a first drive apparatus that is capable of moving the first member parallel to the first direction; and a second drive apparatus that is capable of moving the second member parallel to the first direction independently of the first member; wherein, a space between the first surface and a front surface of an object can hold the liquid; and a liquid between the liquid recovery port and the front surface of the object is recovered via the liquid recovery port. | 11-29-2012 |
20120300182 | Lithographic Apparatus and Device Manufacturing Method - A lithographic system includes a monitored lithographic projection apparatus arranged to project a patterned beam onto a substrate. A scatterometer measures a plurality of parameters of the pattern transferred to the substrate including at least one CD-profile parameter and at least one further parameter of the pattern transferred to the substrate which is indicative of a machine setting of the monitored lithographic projection apparatus. A matching system includes a database storing information representative of reference CD values and reference values for the further feature. A comparison arrangement compares the measured values with the corresponding stored values, a lithographic parameter calculation means calculating a corrected set of machine settings for the monitored lithographic apparatus dependent on the differences between the measured and reference values. | 11-29-2012 |
20120307219 | CRISS-CROSS WRITING STRATEGY - In general, one aspect of the technology described can be embodied in methods that include the action of applying a writing mechanism having non-isotropic writing properties resulting from different degrees of coherence interaction in a sweep direction and a cross-sweep direction, writing an image pattern twice on a work piece using the writing mechanism rotated relative to the image pattern written on the workpiece between first and second writings, whereby writing with the rotated writing mechanism averages the non-isotropic properties. The lesser included angle separating first and second relative directions of movement between a workpiece and writing mechanism may be 20 degrees or greater, or somewhat less, under conditions described herein. | 12-06-2012 |
20120314194 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus having an optical column capable of creating a pattern on a target portion of the substrate. The optical column may be provided with a self-emissive contrast device configured to emit a beam and a projection system configured to project the beam onto the target portion. The apparatus may be provided with an actuator to move the optical column or a part thereof with respect to the substrate. An optical sensor device is provided which is movable in respect of the optical columns and has a range of movement which enables the optical sensor device to move through a projection area of each of the optical columns to measure a beam of each of the optical columns. | 12-13-2012 |
20120320352 | MULTIBEAM EXPOSURE SCANNING METHOD AND APPARATUS, AND METHOD OF MANUFACTURING PRINTING PLATE - This invention is concerning a multibeam exposure scanning method and apparatus, and a method of manufacturing a printing plate. The problem to be solved is that angular small convex points are to be stably formed through multibeam exposure. The above problem is to be solved by a multibeam exposure scanning method for engraving the surface of a recording medium by simultaneously emitting beams to expose and scan the same scanning line two or more times. The multibeam exposure scanning method includes: exposing a first region with a first amount of light and exposing a second region with a second amount of light in a single scanning operation, the first region being adjacent to a target planar shape to be left on the exposure surface of the recording medium, the second region surrounding the first region; and, in at least one of a second exposure and scanning operation and succeeding exposure and scanning operations, exposing and scanning the second region with a larger amount of light than the amount of light used in the first exposure and scanning operation. | 12-20-2012 |
20130003029 | OPTICAL IMAGING WRITER SYSTEM - System and method for applying mask data patterns to substrate in a lithography manufacturing process are disclosed. In one embodiment, the method includes providing a parallel imaging writer system which has a plurality of spatial light modulator (SLM) imaging units arranged in one or more parallel arrays, receiving a mask data pattern to be written to a substrate, processing the mask data pattern to form a plurality of partitioned mask data patterns corresponding to different areas of the substrate, identifying one or more objects in an area of the substrate to be imaged by corresponding SLMs, and controlling the plurality of SLMs to write the plurality of partitioned mask data patterns in parallel by performing multiple exposures to image the one or more objects in the area of the substrate. | 01-03-2013 |
20130003030 | LASER ABLATION TOOLING VIA DISTRIBUTED PATTERNED MASKS - A distributed patterned mask for use in a laser ablation process to image a complete pattern onto a substrate. The mask has a plurality of apertures for transmission of light and non-transmissive areas around the apertures. When the apertures for the distributed pattern are repeatedly imaged on a substrate, structures within the distributed pattern merge within different areas of the imaged pattern to create the complete pattern with distributed stitch lines in order to reduce or eliminate the stitching effect in laser ablation. The mask can also form a sparse and distributed pattern including apertures that individually form merging portions of the complete pattern and collectively form a distributed pattern. | 01-03-2013 |
20130010273 | Linear Motor Magnetic Shield Apparatus - A magnetic shield having non-magnetic gaps provides reduced magnetic cross-talk for a linear motor array in a precision positioning system. Redirecting the leakage flux limits the cross-talk and associated deleterious effects. Such preferred magnetic circuit paths for the leakage are affixed to the moving magnet system of the linear motor. Embodiments of the preferred flux leakage paths are realized by providing a ferromagnetic shield separated by a non-magnetic gap between the permanent magnets and the back-irons. In another embodiment, the ferromagnetic shield separation includes diamagnetic materials. | 01-10-2013 |
20130016329 | EXPOSURE APPARATUS, EXPOSURE METHOD, MEASUREMENT METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes: an optical member which has an emission surface and in which a liquid immersion space is formed; a measurement member has a conductive first film and an upper surface, the upper surface includes a first portion and a second portion, the first portion being capable of facing the emission surface and being irradiated with measurement light, and the second portion includes a surface of a third film which is more liquid-repellent than the first film; a liquid immersion member, which is capable to be disposed to face the measurement member and which is capable of holding liquid between the measurement member; and a voltage adjustment apparatus that applies a voltage to at least one of the first film and the liquid immersion member, when at least a portion of an interface of the liquid of the liquid immersion space is located at the second portion. | 01-17-2013 |
20130021589 | Projection Optical System, Exposure Apparatus, Exposure Method, Display Manufacturing Method, Mask, and Mask Manufacturing Method - An exposure apparatus of the present invention is an exposure apparatus for, while moving a first object M and a second object P along a scanning direction, performing projection exposure on the second object, which has a first projection optical system PL | 01-24-2013 |
20130033689 | DYNAMIC MASKING METHOD FOR MICRO-TRUSS FOAM FABRICATION - A system for fabricating a radiation-cured structure is provided. The system includes a radiation-sensitive material configured to at least one of initiate, polymerize, crosslink and dissociate with exposure to radiation. At least one radiation source is configured to project a radiation beam toward the radiation-sensitive material. A smart glass device is disposed between the radiation-sensitive material and the at least one radiation source. The smart glass device includes at least one switchable layer selectively operable from an active state to an inactive state. The smart glass device is configured to expose the radiation-sensitive material to a desired exposure pattern when in one of the active state and the inactive state. A method for fabricating the radiation-cured structure is also provided. | 02-07-2013 |
20130057839 | LITHOGRAPHY SYSTEM AND MANUFACTURING METHOD OF COMMODITIES - The present invention provides a lithography system including an obtaining unit which obtains a transfer function describing a relationship between first vibration generated in one lithography apparatus of two lithography apparatuses among at least three lithography apparatuses, and second vibration generated in the other lithography apparatus upon transmission of the first vibration to the other lithography apparatus, and a calculator which calculates, based on the transfer function, an amount of vibration of a first lithography apparatus among the at least three lithography apparatuses due to vibration of lithography apparatuses, other than the first lithography apparatus, and a controller which controls the lithography apparatuses other than the first lithography apparatus, so that the amount of vibration calculated falls below a tolerance. | 03-07-2013 |
20130063707 | PATTERN GENERATING METHOD, PATTERN FORMING METHOD, AND PATTERN GENERATING PROGRAM - One embodiment includes: a step of evaluating an amount of flare occurring through a mask at EUV exposure; a step of providing a dummy mask pattern on the mask based on the evaluated result of the amount of flare; and a step of executing a flare correction and an optical proximity correction on a layout pattern. The layout pattern is provided by the EUV exposure through the mask with the dummy mask pattern. | 03-14-2013 |
20130063708 | DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a drawing apparatus Including a generation device configured to generate drawing data, a blanking device configured to blank a bears, and a blanking controller includes a first storage device, a second, storage device, and a third storage device configured to respectively store the drawing data generated by the generation device, and being configured to control operations of the first storage device, the second storage device, and the third storage device so that an operation of storing the drawing data generated by the generation device in a selected one of the first storage device and the second storage device, and an operation of reading out the drawing data stored in the other of the first storage device and the second storage device, and storing the readout drawing data in the third storage device are executed in parallel. | 03-14-2013 |
20130070222 | Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography - A method and system for optimization of an image to be printed on a substrate using optical lithography is disclosed in which a set of charged particle beam shots, some of which overlap, is determined so as to form a target pattern on a surface such as a reticle. The charged particle beam shots are simulated to determine the pattern that would be formed on the surface. Next, a substrate image is calculated from the simulated surface pattern. One or more shots in the set of shots are then modified to improve the calculated substrate image. | 03-21-2013 |
20130070223 | PROJECTION SYSTEM WITH FLEXIBLE COUPLING - The invention relates to a projection system for projecting one or more beams on a target, said system comprising a frame, a projection module comprising a beam source for providing the one or more beams, projection optics for projecting beams on the target, a target positioning module, comprising a carrier for carrying the target, a stage for carrying and positioning the carrier, a measurement system for determining a position of the projection module relative to the carrier, a controller adapted for controlling the target positioning module to position the target under the projection module based on said determined position, wherein the projection module is connected to the frame via a flexible coupling for dampening the propagation of vibrations from said frame to said projection module, and wherein the controller is adapted control the target positioning module to compensate for residual vibrations as measured by said measurement system. | 03-21-2013 |
20130077066 | PATTERN FORMING APPARATUS - According to one embodiment, a pattern forming apparatus includes a stage provided under a lower surface of a substrate, a probe provided above an upper surface of the substrate, a drive unit which drives at least one of the stage and the probe, a monitor/lithography unit connected to the probe, and a control unit which controls the drive unit and the monitor/lithography unit. The control unit is configured to change a relative position between the probe and the substrate, and form a first pattern in an area direct above a second pattern after detecting the first pattern in the substrate by the probe. | 03-28-2013 |
20130077067 | EXPOSURE APPARATUS HAVING BLIND AND METHOD OF DRIVING - An exposure apparatus includes a light source, a mask, a blind, and a blind driver. The light source emits light in a light emitting cycle. The mask is disposed over a substrate and includes an exposure window. A pattern is formed in the exposure window. The blind is disposed over the exposure window. The blind moves in a first direction to block a non-exposed area in the substrate. The blind is returned to pass through the exposure window area in a second direction opposite to the first direction. The blind includes a plurality of blocking plates. The blocking plates include a blocking portion and an opening portion. The blind driver drives the blind. | 03-28-2013 |
20130077068 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus including an optical system, includes a light shielding plate, a rotational drive unit configured to rotatably drive the light shielding plate around an axis of rotation along an optical path in the optical system, a shift drive unit configured to shift-drive the light shielding plate in a direction intersecting the axis of rotation, an acquisition unit configured to acquire information relating to a relative position between a reference axis serving as a reference when a pattern image is projected on a substrate by the optical system and the axis of rotation, and a control unit configured to control the rotational drive unit and the shift-drive unit so that, when projecting the pattern image on a circumferential portion near an outer edge of the substrate, the light shielding plate is positioned at a predetermined position based on the information relating to the relative position. | 03-28-2013 |
20130083303 | Multi-Level Imaging Using Single-Pass Imaging System Having Spatial Light Modulator and Anamorphic Projection Optics - An imaging system utilizes an anamorphic optical system to concentrate a two-dimensional modulated light field in a process direction such that a one-dimensional scan line image extending in a cross-process direction is generated on an imaging surface. The modulated light field is generated by directing homogeneous light onto light modulating elements arranged in a two-dimensional array. The array is configured using a scan line image data group made up of pixel image data portions. An associated group of the light modulating elements aligned in the process direction is configured by each pixel image data portion. When a pixel value is “partially on” (i.e., between “fully on” and “fully off”), the light modulating elements of the associated group are configured such that modulating elements located in the center of each group are activated, and elements located on the upper and lower ends of each group are deactivated. | 04-04-2013 |
20130120725 | IMPRINT LITHOGRAPHY METHOD AND IMPRINTABLE MEDIUM - An imprint lithography method is disclosed for reducing a difference between an intended topography and an actual topography arising from a part of a patterned layer of fixed imprintable medium. The method involves imprinting an imprint lithography template into a layer of flowable imprintable medium to form a patterned layer in the imprintable medium, and fixing the imprintable medium to form a patterned layer of fixed imprintable medium. Local excitation is applied to the part of the patterned layer to adjust a chemical reaction in the part of the patterned layer to reduce the difference between the intended topography and the actual topography arising from the part of the fixed patterned layer of imprintable medium when this is subsequently used as a resist for patterning the substrate. An imprint medium suitable for imprint lithography with the method is also disclosed. | 05-16-2013 |
20130128246 | Lithography Method and Apparatus - In an embodiment, a lithography method is disclosed that includes providing a providing a first heat load to a first area of an object, and providing a second heat load to a second area of the object, wherein the second heat load is configured to ensure a deformation of the first area of the object caused by providing both the first heat load and the second heat load is smaller than a deformation of the first area of the object caused by providing only the first heat load. | 05-23-2013 |
20130135598 | METHOD AND SYSTEM FOR CONTROLLING CRITICAL DIMENSION AND ROUGHNESS IN RESIST FEATURES - A computer readable storage medium containing program instructions for treating a photoresist relief feature on a substrate having an initial line roughness and an initial critical dimension, that, when executed cause a system to: direct ions toward the photoresist relief feature in a first exposure at a first angular range and at a first ion dose rate configured to reduce the initial line roughness to a second line roughness; and direct ions toward the photoresist relief feature in a second exposure at a second ion dose rate greater than the first ion dose rate, the second ion dose rate being configured to swell the photoresist relief feature. | 05-30-2013 |
20130135599 | DYNAMIC PROJECTION METHOD FOR MICRO-TRUSS FOAM FABRICATION - A system for fabricating a radiation-cured component is provided. The system includes a radiation-sensitive material configured to at least one of initiate, polymerize, crosslink and dissociate with exposure to radiation, and at least one radiation source configured to project a radiation beam with a vector that does not intersect the radiation-sensitive material. The system further includes a radiation directing device that is selectively positionable to reflect the radiation beam in a desired direction and exposure the radiation-sensitive material to the radiation beam. A method for fabricating the radiation-cured components is also provided. | 05-30-2013 |
20130155383 | EXPOSURE APPARATUS AND EXPOSURE METHOD - The present invention provides an exposure apparatus and an exposure method. The method comprises: utilizing an exposure light source to provide light rays to the photo-resist layer; and utilizing a reflective plate to reflect the light rays passing through the photo-resist layer and the transparent substrate back to the photo-resist layer. The present invention can reduce a line space of a pattern of the photo-resist layer. | 06-20-2013 |
20130169946 | LITHOGRAPHY MACHINE AND SCANNING AND EXPOSING METHOD THEREOF - The present disclosure provides a lithography machine and a scanning and exposing method thereof. According to the scanning and exposing method, the scanning and exposing process for a whole wafer includes two alternately circulated motions: a scanning and exposing motion and a stepping motion; and the scanning and exposing motion is a sinusoidal motion rather than a rapid-acceleration uniform-speed rapid-deceleration scanning and exposing motion in the conventional techniques. During the scanning of a single exposure shot, it may begin to scan the exposure shot once a wafer stage and a reticle stage begin to accelerate from zero speed. And the scanning and exposing may not end until the speeds of the wafer stage and the reticle decrease to zero. Therefore, the effective time of the scanning and exposing in the scanning and exposing motion is greatly increased and the production efficiency of the wafer is improved. | 07-04-2013 |
20130208251 | LARGE AREA NANOPATTERNING METHOD AND APPARATUS - Embodiments of the invention relate to methods and apparatus useful in the nanopatterning of large area substrates, where a rotatable mask is used to image a radiation-sensitive material. Typically the rotatable mask comprises a cylinder. The nanopatterning technique makes use of Near-Field photolithography, where the mask used to pattern the substrate is in contact or close proximity with the substrate. The Near-Field photolithography may make use of an elastomeric phase-shifting mask, or may employ surface plasmon technology, where a rotating cylinder surface comprises metal nano holes or nanoparticles. | 08-15-2013 |
20130229638 | SYSTEM AND METHOD FOR LITHOGRAPHY PATTERNING - Disclosed is a lithography system. The lithography system includes a lithography exposure tool designed for performing an exposure process to a radiation-sensitive material layer coated on an integrated circuit substrate; an alignment module coupled with the lithography exposure tool, designed for alignment measurement, and configured for transferring the integrated circuit substrate to the lithography exposure tool; and an alignment calibration module designed to calibrate the alignment module relative to the lithography exposure. | 09-05-2013 |
20130250260 | PELLICLES FOR USE DURING EUV PHOTOLITHOGRAPHY PROCESSES - Disclosed herein are various pellicles for use during extreme ultraviolet (EUV) photolithography processes. An EUV radiation device disclosed herein includes a reticle, a substrate support stage, a pellicle positioned between the reticle and the substrate support stage, wherein the pellicle is comprised of multiple layers of at least one single atomic-plane material, and a radiation source that is adapted to generate radiation at a wavelength of about 20 nm or less that is to be directed through the pellicle toward the reticle. | 09-26-2013 |
20130278909 | APPARATUS FOR THERMAL DEVELOPMENT WITH SUPPORTING SURFACE FOR A DEVELOPMENT MEDIUM - This invention relates to a method and apparatus for thermally developing a photosensitive element. The thermal development method includes heating the photosensitive element to a temperature sufficient to cause a portion of a composition layer in the element to liquefy, soften, or melt; supporting a development medium with a non-rotating surface to provide contact of the development medium with the heated photosensitive element; and providing relative movement between the development medium and the non-rotating surface. | 10-24-2013 |
20130286368 | IMAGER ARRAY APPARATUS AND SYSTEMS - An imager apparatus useful for a digital architecture lithographic printing system includes a first imager bank having at least one imager configured to produce a first output beam; and a second imager bank having at least one imager configured to produce a second output beam, the second imager bank being located at a first angle with respect to the first imager bank, the first output beam and the second output beam being separated by a second angle which is less than the first angle. | 10-31-2013 |
20130293858 | ANISOTROPIC PHASE SHIFTING MASK - The present disclosure provides a photomask. The photomask includes a substrate. The photomask also includes a plurality of patterns disposed on the substrate. Each pattern is phase shifted from adjacent patterns by different amounts in different directions. The present disclosure also includes a method for performing a lithography process. The method includes forming a patternable layer over a wafer. The method also includes performing an exposure process to the patternable layer. The exposure process is performed at least in part through a phase shifted photomask. The phase shifted photomask contains a plurality of patterns that are each phase shifted from adjacent patterns by different amounts in different directions. The method includes patterning the patternable layer. | 11-07-2013 |
20130329202 | PATTERN GENERATION METHOD - A pattern generation method for generating a pattern of a cell used to generate a pattern of a mask using a computer, includes obtaining data of pattern of the cell, calculating image of the pattern of the cell to obtain an evaluation value of the image by repeatedly changing a parameter value of an exposure condition when the mask which has the pattern of the cell is illuminated to project image of the pattern of the cell onto a substrate to expose the substrate, and a parameter value of the pattern of the cell, and determining parameter value of the pattern of the cell when the evaluation value satisfies a predetermined evaluation standard. | 12-12-2013 |
20140071414 | MICROLITHOGRAPHY PROJECTION SYSTEM WITH AN ACCESSIBLE DIAPHRAGM OR APERTURE STOP - The invention relates to a microlithography projection lens for wavelengths <=248 nm<=, preferably <=193 mm, in particular EUV lithography for wavelengths ranging from 1-30 nm for imaging an object field in an object plane onto an image field in an image plane, the microlithography projection lens developed in such a manner that provision is made for an accessible diaphragm plane, into which for instance an iris diaphragm can be introduced. | 03-13-2014 |
20140078478 | METHOD OF OPTIMIZING LITHOGRAPHY TOOLS UTILIZATION - A lithography cluster includes at least two lithography cells having a first lithography cell and a second lithography cell, an interface unit configured to integrate with the first lithography cell and the second lithography cell. The first lithography cell includes a first track and a first exposing tool and a second lithography cell includes a second track and a second exposing tool. The interface station includes a first interface buffer configured to couple the first track, a second interface buffer configured to couple the second track, a conveyor configured to couple the first interface buffer and the second interface buffer, and a robot configure to move along the conveyor, where in the robot transfers a substrate between functions of multiple functions within the first lithography cell, the second lithography cell, or between the first lithography cell and the second lithography cell. | 03-20-2014 |
20140098354 | Reticle Transfer System and Method - A fabrication system comprises a global system comprising a plurality of stockers and a global transportation system connected to the stockers, a local system coupled to the global system through the global transportation system, wherein the local system comprises a first buffer located at a boundary between the global system and the local system, a plurality of lithography apparatuses coupled to the first buffer through a local transportation system and an empty pod buffer. | 04-10-2014 |
20140160452 | LITHOGRAPHIC APPARATUS, PROGRAMMABLE PATTERNING DEVICE AND LITHOGRAPHIC METHOD - A lithographic apparatus is disclosed that includes a modulator to modulate a plurality of beams according to a desired pattern and a donor structure on to which the modulated beams impinge. The donor structure is configured such that the impinging modulated beams cause a donor material to be transferred from the donor structure to the substrate. | 06-12-2014 |
20140160453 | RADIATION SOURCE - A radiation source includes a nozzle configured to direct a stream of fuel droplets along a trajectory towards a plasma formation location; a laser configured to output laser radiation, the laser radiation directed at the fuel droplets at the plasma formation location to generate, in use, a radiation generating plasma; and a catch configured to catch fuel droplets that pass the plasma formation location. The catch includes a container configured to contain a fluid; a driver configured to drive the fluid, to cause the fluid to move; the catch being configured such that the fuel droplets are incident on that moving fluid. | 06-12-2014 |
20140168621 | Fly Eye Lens and Proximity Exposure Machine Optical System - An embodiment of the present invention provides a fly eye lens which is applied to a proximity exposure machine optical system. The fly lens includes a first lens assembly and a second lens assembly, wherein the first lens assembly includes a plurality of lenses which form a first lens face, and the second lens assembly includes a plurality of lenses which form a second lens face. The first lens face is used to split an incident broad light beam into narrow light beams and then refract the narrow light beams onto the second lens face, and the second lens face is used to dispersively refract the received narrow light beams onto a concave mirror in the optical system. A lens closer to a center of the second lens face has a higher transmittivity, and a lens farther from the center of the second lens face has a lower transmittivity. According to the embodiment of the present invention, since different lenses on the second lens face have different transmittivities, the illuminances of light radiated to different regions of a mask plate through the fly eye lens are different, and thus the uniformity of the critical dimensions of the exposed patterns can be improved to a certain extent. | 06-19-2014 |
20140168622 | MICROLENS ARRAY AND SCANNING EXPOSURE DEVICE USING SAME - This microlens array comprises hexagonal field diaphragms in inverted-image-forming positions, i.e., microlenses, a plurality of which are arranged in the direction perpendicular to a direction of scanning, and from which rows of microlenses are configured. Further, for three rows of microlenses, microlens rows are arranged with offset by (a length S) in a direction perpendicular to the direction of scanning such that triangular portions of the hexagonal field diaphragms overlap in the direction of scanning. Furthermore, microlens row groups, which are configured from three microlens rows, are arranged with offset in the direction perpendicular to the direction of scanning in increments of a minute amount of shifting F (for example, 2 μm). Thereby, this scanning exposure device using a microlens array is capable of preventing exposure ununiformity even in the direction perpendicular to the direction of scanning. | 06-19-2014 |
20140176925 | INTERFERENCE EXPOSURE DEVICE AND METHOD - An interference exposure device, including: a light source ( | 06-26-2014 |
20140192336 | Optical Imaging Writer System - System and method for applying mask data patterns to substrate in a lithography manufacturing process are disclosed. In one embodiment, a parallel imaging writer system comprises a plurality of spatial light modulator (SLM) imaging units, and a controller configured to control the plurality of SLM imaging units. Each of the plurality of SLM imaging units includes one or more illumination sources, one or more alignment sources, one or more projection lenses, and a plurality of micro mirrors configured to project light from the one or more illumination sources to the corresponding one or more projection lens. The controller synchronizes movements of the plurality of SLM imaging units with movement of a substrate in writing a mask data to the substrate in a lithography manufacturing process. | 07-10-2014 |
20140233000 | METHOD FOR PRODUCING OPTICAL ORIENTATION FILM METHOD FOR PRODUCING RETARDATION FILM, SYSTEM FOR PRODUCING OPTICAL ORIENTATION FILM, OPTICAL ORIENTATION FILM AND RETARDATION FILM - A method for producing an optical orientation film is disclosed, the method being able to realize highly accurate exposure in a pattern, even if a simple device and non-parallel light are used and a long continuous resin substrate is used and fed continuously. The method for producing the optical orientation film includes the steps of: (i) preparing an irradiation target substrate and a long continuous photomask (ii) feeding the irradiation target substrate continuously; (iii) feeding the photomask continuously; (iv) producing a laminate by laminating the photomask fed in step (iii) on an orientation layer of the irradiation target substrate fed in step (ii); (v) exposing the orientation layer in the pattern by irradiating with light, while feeding the laminate obtained in step (iv) in the longitudinal direction of the laminate; and (vi) removing the photomask from the laminate irradiated in step (v). | 08-21-2014 |
20140268076 | SELF-ALIGNED DYNAMIC PATTERN GENERATOR DEVICE AND METHOD OF FABRICATION - A dynamic pattern generator (DPG) device and method of making a DPG device are disclosed. The DPG device is used in semiconductor processing tools that require multiple electron-beams, such as direct-write lithography. The device is a self-aligned DPG device that enormously reduces the required tolerances for aligning the various electrode layers, as compared to other design configurations including the non-self-aligned approach and also greatly simplifies the process complexity and cost. A process sequence for both integrated and non-integrated versions of the self-aligned DPG device is described. Additionally, an advanced self-aligned DPG device that eliminates the need for a charge dissipating coating or layer to be used on the device is described. Finally, a fabrication process for the implementation of both integrated and non-integrated versions of the advanced self-aligned DPG device is described. | 09-18-2014 |
20140300877 | PROGRAMMABLE PHOTOLITHOGRAPHY - A method of programmable photolithography includes positioning ( | 10-09-2014 |
20140320832 | POSITION-MEASUREMENT SYSTEMS - Apparatus are disclosed for measuring the position of an object surface along an axis. An exemplary apparatus has at least one actuator coupled to a fixed member such as a metrology frame. At least one analog proximity sensor is coupled to the at least one actuator. The at least one actuator is controllably operated to position the at least one proximity sensor at a fixed distance along the axis from a surface that is fixed relative to the fixed me+mber. A controller, coupled to the actuator and to the proximity sensor, is configured to compute a position of the object surface along the axis based on a known location of the fixed surface along the axis, the fixed distance from the fixed surface, and position signals from the at least one proximity sensor. | 10-30-2014 |
20140327894 | LITHOGRAPHY APPARATUS HAVING EFFECTIVE THERMAL ELECTRON ENHANCEMENT UNIT AND METHOD OF FORMING PATTERN USING THE SAME - A lithography apparatus and a method of using the same, the apparatus including a stage for accommodating a substrate that has a photoresist film thereon; a main unit on the stage, the main unit being configured to irradiate a projection beam to the photoresist film; and an electric field unit adjacent to the stage, the electric field unit being configured to apply an electric field to the photoresist film, wherein the electric field unit is configured to be turned on at a same time as or before irradiation of the projection beam, and is configured to be turned off at a same time as or after termination of the projection beam. | 11-06-2014 |
20140340660 | PATTERN FORMATION METHOD AND PATTERN FORMATION APPARATUS - According to one embodiment, a pattern formation method includes preparing a mold including a first pattern, preparing a substrate including a second pattern, coating a photosensitive resin onto the substrate, bringing the mold into contact with the photosensitive resin, determining whether or not the photosensitive resin is filled between the first pattern and the second pattern, performing an alignment of the first pattern and the second pattern according to a first reference in the case where the photosensitive resin is filled between the first pattern and the second pattern, and performing the alignment of the first pattern and the second pattern according to a second reference different from the first reference in the case where the photosensitive resin is not filled between the first pattern and the second pattern, curing the photosensitive resin, and releasing the mold from the photosensitive resin. | 11-20-2014 |
20140362357 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING ARTICLE - The present invention provides an exposure apparatus which exposes each of a plurality of shot regions on a substrate, comprising a substrate stage configured to be movable while holding the substrate, and a control unit, wherein the plurality of shot regions include a first shot region, and a second shot region which is exposed next to the first shot region, and the control unit drives the substrate stage in accordance with drive information of the substrate stage in a period until exposure of the second shot region starts after an end of exposing the first shot region, and when an exposure condition for exposing the second shot region is not satisfied in the period, the control unit drives again the substrate stage in accordance with the drive information and then exposes the second shot region. | 12-11-2014 |
20150022793 | LITHOGRAPHY APPARATUS, LITHOGRAPHY METHOD, LITHOGRAPHY SYSTEM, STORAGE MEDIUM, AND ARTICLE MANUFACTURING METHOD - Provided is a lithography apparatus that includes a plurality of patterning devices each of which is configured to perform patterning for a substrate supplied from a preprocessing apparatus; and a controller configured to control the plurality of patterning devices such that a plurality of substrates respectively belonging to a plurality of lots is subjected to parallel processings by the plurality of patterning devices based on a plurality of recipe information respectively corresponding to the plurality of lots, and transmit information regarding a schedule of the parallel processings to the preprocessing apparatus. | 01-22-2015 |
20150022794 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS - A method of manufacturing a semiconductor device includes: preparing a wafer member, the wafer member including a wafer, a conductive layer formed on a surface of the wafer and a negative photoresist formed on the conductive layer; applying a light blocking material so as to cover at least a part of an outer edge of the wafer member from an upper surface of the negative photoresist to a side surface of the negative photoresist; exposing the negative photoresist to exposure light; removing the light blocking material; and developing the negative photoresist. | 01-22-2015 |
20150070669 | CALCULATION METHOD, GENERATION METHOD, PROGRAM, EXPOSURE METHOD, AND MASK FABRICATION METHOD - A generation method of generating, by a computer, data of a pattern of a mask used for an exposure apparatus including a projection optical system. The method includes dividing an effective light source formed on a pupil plane of the projection optical system into a plurality of point sources; generating a plurality of shifted pupil functions by shifting a pupil function corresponding to each of the plurality of point sources by a shift amount in accordance with a position of each point source; defining a matrix by arranging each of the plurality of shifted pupil functions in each row or each column of the matrix; calculating an eigenvalue and an eigenfunction by performing singular value decomposition of the matrix; calculating a map representing, when elements of a target pattern are inserted on an object plane of the projection optical system, an influence the elements inflict on each other. | 03-12-2015 |
20150116679 | MECHANISMS FOR PERFORMING A PHOTOLITHOGRAPHY PROCESS - Embodiments of performing a photolithography process are provided. The method for performing the photolithography process includes providing a substrate and forming a photoresist layer over the substrate. The method further includes forming exposed photoresist portions by performing an exposure process on the photoresist layer. The method further includes performing a surface modifying treatment on the photoresist layer after the exposure process and removing the exposed photoresist portions by performing a developing process. | 04-30-2015 |
20150131066 | MASK PATTERN GENERATION METHOD AND OPTICAL IMAGE CALCULATION METHOD - In a method for generating, with a computer, a pattern of a mask, a pattern on an object plane of a projection optical system is set, shifted plural pupil functions are generated, a matrix containing the generated plural pupil functions is defined, an image of the pattern on the object plane is calculated by generating a vector obtained by transposing and complex-conjugating a vector containing, as components, values of the pupil functions at origin coordinates on a pupil plane from among components of the matrix, and performing convolution integral between the pattern on the object plane and a Fourier transform of a product of the vector and the matrix, an assist pattern for the pattern on the object plane is generated using the calculated image, and a pattern of the mask including the pattern on the object plane and the assist pattern is generated. | 05-14-2015 |
20150146179 | Low energy electron beam lithography - A low energy electron beam lithography system uses an 2 KeV electron beam of about two hundred microamperes, a 4 Division Complementary Mask (4DCM) formed from a monocrystalline silicon wafer with membranes about 100 nm thick that are surrounded by supporting silicon struts, and spaced about 50 microns from an electron sensitive resist layer about 20 nm thick that covers a nonmetallic conductive layer that covers a semiconductor wafer. Distortions in the 4DCM and semiconductor wafer are sensed and an error distortion signal is generated that results in the electron beam being tilted so as to compensate for the distortions to minimize image placement errors. | 05-28-2015 |
20150293458 | Method of Determining Dose and Focus, Inspection Apparatus, Patterning Device, Substrate and Device Manufacturing Method - A method of determining exposure dose of a lithographic apparatus used in a lithographic process on a substrate. Using the lithographic process to produce a first structure on the substrate, the first structure having a dose-sensitive feature which has a form that depends on exposure dose of the lithographic apparatus on the substrate. Using the lithographic process to produce a second structure on the substrate, the second structure having a dose-sensitive feature which has a form that depends on the exposure dose of the lithographic apparatus but which has a different sensitivity to the exposure dose than the first structure. Detecting scattered radiation while illuminating the first and second structures with radiation to obtain first and second scatterometer signals. Using the first and second scatterometer signals to determine an exposure dose value used to produce at least one of the first and second structures. | 10-15-2015 |
20150309424 | Network architecture and protocol for cluster of lithography machines - A lithography system having one or more lithography elements Each lithography element has a plurality of lithography subsystems. The lithography system further has a control network forming a control network path between the plurality of the lithography subsystems and at least one element control unit for communication of control information. The lithography system is arranged for: issuing control information to the at least one element control unit to control operation of one or more of the lithography subsystems for exposure of one or more wafers; issuing a process program to the element control unit. The process program has a set of predefined commands and associated parameters. The element control unit is arranged to transmit a command of the process program to a lithography subsystem to be executed by the lithography subsystem, regardless of an execution status of a preceding command transmitted to the lithography subsystem. | 10-29-2015 |
20150331333 | ENHANCED EUV LITHOGRAPHY SYSTEM - The present disclosure provides a semiconductor lithography system. The lithography system includes a projection optics component. The projection optics component includes a curved aperture. The lithography system includes a photo mask positioned over the projection optics component. The photo mask contains a plurality of elongate semiconductor patterns. The semiconductor patterns each point in a direction substantially perpendicular to the curved aperture of the projection optics component. The present disclosure also provides a method. The method includes receiving a design layout for a semiconductor device. The design layout contains a plurality of semiconductor patterns each oriented in a given direction. The method includes transforming the design layout into a mask layout. The semiconductor patterns in the mask layout are oriented in a plurality of different directions as a function of their respective location. | 11-19-2015 |
20150370174 | PATTERNING DEVICE, METHOD OF PRODUCING A MARKER ON A SUBSTRATE AND DEVICE MANUFACTURING METHOD - A patterning device, for use in forming a marker on a substrate by optical projection, the patterning device including a marker pattern having a density profile that is periodic with a fundamental spatial frequency corresponding to a desired periodicity of the marker to be formed. The density profile is modulated (such as sinusoidally) so as to suppress one or more harmonics of the fundamental frequency, relative to a simple binary profile having the fundamental frequency. | 12-24-2015 |
20160011519 | LITHOGRAPHY APPARATUS AND ARTICLE MANUFACTURING METHOD | 01-14-2016 |
20160011526 | FIELD GUIDED EXPOSURE AND POST-EXPOSURE BAKE PROCESS | 01-14-2016 |
20160139503 | Process Chamber for Field Guided Exposure and method for Implementing the process chamber - A method and apparatus disclosed herein apply to processing a substrate, and more specifically to a method and apparatus for improving photolithography processes. The apparatus includes a chamber body, a substrate support disposed within the chamber body, and an electrode assembly. The substrate support has a top plate disposed above the substrate support, a bottom plate disposed below the substrate support, and a plurality of electrodes connecting the top plate to the bottom plate. A voltage is applied to the plurality of electrodes to generate an electric field. Methods for exposing a photoresist layer on a substrate to an electric field are also disclosed herein. | 05-19-2016 |
20160161853 | SCAN AND STEP EXPOSURE SYSTEM - There is provided a scan and step exposure system to enable to perform the exposure on a large-sized subject to be exposed such as a film and a wafer, which was difficult to perform circuit patterning process on at one time, by transferring an exposure module by step feed way or making it moved continuously like scanning way in such way that an exposure subject is adsorbed on a chuck tray drawn out of the system body and the chuck tray is put into the body and placed under a mask holder, and a glass base having a film mask having circuit patterns adsorbed thereon is mounted on the mask holder, and the distance between the glass base and the exposure subject is controlled while moving the mask holder up and down, and the exposure process is performed while moving the exposure module located above the mask holder in the transverse direction continuously by scan way or by step feed way. | 06-09-2016 |
20160170305 | IMAGING SYSTEM WITH ELECTROPHOTOGRAPHIC PATTERNING OF AN IMAGE DEFINITION MATERIAL AND METHODS THEREFOR | 06-16-2016 |
20190146359 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING ARTICLE | 05-16-2019 |
20220137514 | METHOD FOR DETERMINING STOCHASTIC VARIATION OF PRINTED PATTERNS - A method for determining measurement data of a printed pattern on a substrate. The method involves obtaining (i) images of the substrate including a printed pattern corresponding to a reference pattern, (ii) an averaged image of the images, and (iii) a composite contour based on the averaged image. Further, the composite contour is aligned with respect to a reference contour of the reference pattern and contours are extracted from the images based on both the aligned composite contour and the output of die-to-database alignment of the composite contour. Further, the method determines a plurality of pattern measurements based on the contours and the measurement data corresponding to the printed patterns based on the plurality of the pattern measurements. Further, the method determines a one or more process variations such as stochastic variation, inter-die variation, intra-die variation and/or total variation. | 05-05-2022 |