Entries |
Document | Title | Date |
20080198343 | Systems and methods for insitu lens cleaning in immersion lithography - An immersion lithography apparatus is provided that includes an energy source, a projection optical system, a stage, a showerhead including an immersion liquid supply device and an immersion liquid discharge device that produces a flow of liquid within an exposure zone, and a cleaning device that cleans a portion of the projection optical system having been contacted with the immersion liquid by means of a cleaning gas. In an embodiment, the cleaning device includes a gas supply device and a gas discharge device that produce a flow of cleaning gas into the exposure zone. In embodiments, the apparatus includes a stage that includes a dose sensor and/or an ultra-violet light source. A method for insitu cleaning of a final lens element within an immersion lithography system having an immersion fluid showerhead that provides immersion fluid to an exposure zone of the immersion lithography system is also provided. | 08-21-2008 |
20080198344 | Lithographic apparatus and method of removing liquid - A method of removing liquid from a substrate supported on a substrate table and from a gap between the substrate and the substrate table includes: providing a liquid removal device with at least one outlet connected to an under pressure source, the outlet forming an elongated extractor of a predetermined geometry; relatively moving the substrate table and the liquid removal device such that the extractor is adapted to pass over all of the-substrate and gap and such that substantially at any given time any local part of the extractor at the edge of a non-dried portion of the gap has, in a plane, its local tangent orientated at an angle of between about 35° and 90° to the local tangent of the gap. | 08-21-2008 |
20080198345 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus having a stage configured to hold a substrate and to be moved, and a projection optical system configured to project light from a reticle to the substrate held by the stage, and exposing the substrate to light via liquid filled in a gap between the substrate and a final surface of the projection optical system is disclosed. The apparatus comprises a first nozzle configured to supply liquid to the gap; a second nozzle configured to selectively perform recovery of liquid from the gap and supply of liquid to a gap between the stage and the final surface of the projection optical system; and a third nozzle configured to recover liquid supplied via at least the second nozzle. | 08-21-2008 |
20080198346 | EXPOSURE APPARATUS AND METHOD FOR MANUFACTURING DEVICE - An exposure apparatus, exposing a substrate via liquid, includes a projection optical system that projects a pattern of an original onto the substrate and a substrate stage that holds and moves the substrate. The substrate stage includes a chuck that holds the substrate, a top plate that surrounds the substrate held by the chuck, and a draining mechanism that drains liquid on the top plate. The top plate has a first area and a second area on the surface of the top plate. At least part of the first area is formed between the substrate held by the chuck and the second area. The contact angle of the first area with the liquid is smaller than the contact angle of the second area with the liquid. The draining mechanism drains liquid on the first area. | 08-21-2008 |
20080198347 | IMMERSION EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An immersion exposure apparatus for exposing a substrate via liquid is disclosed. The apparatus comprises a projection optical system configured to project an image of a pattern of a reticle onto the substrate, a first recovery nozzle arranged at a periphery of a final optical element of the projection optical system and configured to recover liquid from a gap between the final optical element and the substrate, and a detector configured to detect a foreign particle in the liquid recovered via the first recovery nozzle. | 08-21-2008 |
20080198348 | Apparatus and methods for minimizing force variation from immersion liquid in lithography systems - A lithographic projection apparatus includes an optical assembly that projects an image onto a workpiece, and a containment member disposed adjacent to a lower end of the optical assembly. The containment member has an aperture through which an exposure beam passes from the optical assembly to the workpiece. The lithographic projection apparatus also includes a stage assembly including a workpiece table that supports the workpiece adjacent to the containment member. A space between the containment member and the workpiece is filled with an immersion liquid. The lithographic projection apparatus further includes a liquid collection system that has a recess in the workpiece table that receives immersion liquid that overflows the space between the containment member and the workpiece. At least part of the recess is disposed radially outward of the workpiece. The recess is partially filled with a porous material. | 08-21-2008 |
20080204678 | Temperature effects on overlay accuracy - A method for reducing overlay error in a photolithographic process, by providing a substrate having a permanent layer with a first pattern disposed therein, coating the substrate with photoresist, exposing the photoresist to a second pattern, while measuring temperatures at a plurality of different first positions across the substrate, developing the second pattern in the photoresist, measuring overlay errors between the first and second patterns at a plurality of different second positions across the substrate, correlating the overlay errors with temperatures by position on the substrate, determining any relationship indicated between the correlated overlay errors and temperatures, and adjusting at least one temperature controlling aspect of the photolithographic process in response to any relationship determined. | 08-28-2008 |
20080204679 | Lithographic apparatus and device manufacturing method - Liquid is supplied to a space between the projection system of a lithographic apparatus and a substrate. A flow of gas towards a vacuum inlet prevents the humid gas from escaping to other parts of the lithographic apparatus. This may help to protect intricate parts of the lithographic apparatus from being damaged by the presence of humid gas. | 08-28-2008 |
20080204680 | PURGE SYSTEM FOR A SUBSTRATE CONTAINER - A purging station with a substrate container receiving zone having at least one upwardly extending purging nozzle. The nozzle has a circular engaging lip. The substrate container has support means for at least one substrate and a purge port assembly that includes an externally facing sealing flange facing downward from the container. The sealing flange has a central aperture and a cantilevered flange portion that engages with the circular engaging lip of the nozzle. The weight of the substrate container on the nozzle carried by the canilevered portion of the flange causes bending of the flange for a resilient soft seal. | 08-28-2008 |
20080212042 | Circuit Breaker Arrangement in an Indicating Arrangement in an Electrically Powered Stapler - A linear motor with high heat recovery efficiency that inhibits the rise in surface temperature is offered. The linear motor is disposed in a surrounding member ( | 09-04-2008 |
20080212043 | Exposure Apparatus, Exposure Method, And Method For Producing Device - An exposure apparatus fills an optical path space of an exposure light beam with a liquid, and exposes a substrate by irradiating the substrate with the exposure light beam via a projection optical system and the liquid. A first optical element of the projection optical system is provided with a removing device for removing foreign matters in a space inside of the concave surface portion. Immersion exposure is performed by permitting the exposure light beam to excellently reach an image plane via the projection optical system and the liquid. | 09-04-2008 |
20080212044 | Debris Mitigation System with Improved Gas Distribution - The present invention relates to a debris mitigation system, in particular for use in a radiation unit for EUV radiation and/or X-rays. The debris mitigation system comprises a foil trap ( | 09-04-2008 |
20080212045 | OPTICAL SYSTEM WITH AT LEAST A SEMICONDUCTOR LIGHT SOURCE AND A METHOD FOR REMOVING CONTAMINATIONS AND/OR HEATING THE SYSTEMS - A method for removing contaminations from optical elements or parts thereof, especially from at least one surface of at least one optical element, with UV light. At least one semiconductor light source is used for removing the contaminations, wherein the semiconductor light source is arranged in and/or on a support of the optical element and/or close to the optical element such that a light of the semiconductor light source impinges onto the surface of the optical element. | 09-04-2008 |
20080212046 | Lithographic apparatus and device manufacturing method - A liquid confinement system for use in immersion lithography is disclosed in which the meniscus of liquid between the liquid confinement system and the substrate is pinned substantially in place by a meniscus pinning feature. The meniscus pinning feature comprises a plurality of discrete outlets arranged in a polygonal shape. | 09-04-2008 |
20080212047 | Exposure apparatus, exposing method, and device fabricating method - An exposure apparatus comprises: a first optical member for acquiring positional information about the substrate through a first liquid that is for measurement; a second optical member that emits the exposure beam; a first movable member that holds the substrate and is capable of moving within a prescribed area that includes a first position, which opposes the first optical member, and a second position, which opposes the second optical member; and a first liquid holding member that can be positioned at the first position; wherein, by disposing at least one of the first movable member and the first liquid holding member at the first position, a first space, which is capable of holding the first liquid, continues to be formed between the first optical member and at least one of the first movable member, the substrate, which is held by the first movable member, and the first liquid holding member. | 09-04-2008 |
20080212048 | SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING SYSTEM - A substrate processing method and a substrate processing system exclude wafers W provided with a protective film having surface defects that will cause components of a resist to dissolve in an immersion liquid during an immersion exposure process, and rated abnormal from those to be processed by the immersion exposure process. The substrate processing system is provided with a protective film forming module for forming a protective film on a resist film formed on a surface of a wafer W, an exposure system | 09-04-2008 |
20080212049 | SUBSTRATE PROCESSING APPARATUS WITH HIGH THROUGHPUT DEVELOPMENT UNITS - A substrate processing apparatus is arranged adjacent to an exposure device and includes a processing section, a transfer section configured to carry the substrate into and out of the processing section, and an interface configured to receive and transfer the substrate between the processing section and the exposure device. The processing section includes a first processing unit having a photosensitive film formation region, a thermal processing region having a first thermal processing unit, and a first transport region having a first transport unit. The photosensitive film formation region is arranged opposite the thermal processing region with the first transport region interposed therebetween. The processing section also includes a second processing unit having a first development region, a second development region, and a second transport region having a second transport unit. The first development region is arranged opposite to the second development region with the second transport region interposed therebetween. | 09-04-2008 |
20080212050 | Apparatus and methods for removing immersion liquid from substrates using temperature gradient - Apparatus and methods assist in the removal of immersion liquid from a surface of a substrate. In particular, the apparatus/method removes immersion liquid from a surface of a substrate that has been subjected to immersion lithographic exposure. A temperature control unit controls a temperature of the substrate to create a temperature gradient across at least a portion of the surface of the substrate such that a first portion of the surface of the substrate has a first temperature that is higher than a second temperature of a second portion of the surface of the substrate. The temperature gradient induces the immersion liquid remaining on the substrate to move from the higher temperature portion(s) toward the lower temperature portion(s). | 09-04-2008 |
20080212051 | Lithographic apparatus and device manufacturing method - A liquid supply system for an immersion lithographic apparatus provides a laminar flow of immersion liquid between a final element of the projection system and a substrate. A control system minimizes the chances of overflowing and an extractor includes an array of outlets configured to minimize vibrations. | 09-04-2008 |
20080212052 | OPTICAL ARRANGEMENT AND PROJECTION EXPOSURE SYSTEM FOR MICROLITHOGRAPHY WITH PASSIVE THERMAL COMPENSATION - An optical arrangement with a light source includes an optical element that is fastened in a mount. The light source emits radiation and the optical element is acted on thereby such that the heat that results lacks symmetry corresponding to the shape of the optical element. A connecting structure is provided between the optical element and the mount and has a symmetry that does not correspond to the shape of the optical element and effects an at least partial homogenization of the temperature distribution in the optical element. | 09-04-2008 |
20080218709 | Removal of deposition on an element of a lithographic apparatus - The invention provides a cleaning process for the removal of deposition on an element of a lithographic apparatus. The method includes (ex situ) treating the element with an alkaline cleaning liquid. In this way, Sn may be removed from a contaminant barrier or a collector mirror. Especially beneficial is the application of a voltages to the element to be cleaned and/or by using complexing agents for improving the dissolution of Sn in the cleaning liquid. | 09-11-2008 |
20080218710 | EXPOSURE APPARATUS, IMAGE FORMING APPARATUS AND HEATING METHOD - The exposure apparatus is provided with: a substrate; plural light emitting elements that are arranged in a line on a first surface of the substrate; and a heating unit that heats the substrate from the first surface side. | 09-11-2008 |
20080218711 | Lithographic apparatus and device manufacturing method - An immersion lithography apparatus is disclosed having a liquid supply system configured to at least partially fill a space between a final element of a projection system and a substrate table, with a first liquid, and a measurement system configured to measure a location of each of a plurality of points on the substrate, the measurement system being arranged such that measurements take place through a second liquid, the second liquid not being supplied by the liquid supply system. | 09-11-2008 |
20080218712 | Lithographic apparatus, cleaning system and cleaning method for in situ removing contamination from a component in a lithographic apparatus - An immersion lithographic apparatus includes a cleaning system for cleaning a component in the immersion lithographic apparatus in situ. The cleaning system is arranged to provide a cleaning environment in proximity of a predetermined position on a component to be cleaned. The system is also arranged to provide the cleaning environment substantially independent of a type of contamination present at the predetermined position. | 09-11-2008 |
20080225244 | Lithographic apparatus and method - A lithographic apparatus includes an illumination system constructed and arranged to condition a beam of radiation, and a support structure constructed and arranged to support a patterning device. The patterning device is configured to impart the beam of radiation with a pattern in its cross-section. The apparatus also includes a substrate table constructed and arranged to hold a substrate. The substrate table includes a substrate support plate that is in thermal contact with a thermal conditioning plate. The apparatus further includes a projection system constructed and arranged to project the patterned beam of radiation onto a target portion of the substrate. | 09-18-2008 |
20080225245 | EUV debris mitigation filter and method for fabricating semiconductor dies using same - According to one exemplary embodiment, an extreme ultraviolet (EUV) source collector module for use in a lithographic tool comprises an EUV debris mitigation filter. The EUV debris mitigation filter can be in the form of an aerogel film, and can be used in combination with an EUV debris mitigation module comprising a combination of conventional debris mitigation techniques. The EUV debris mitigation filter protects collector optics from contamination by undesirable debris produced during EUV light emission, while advantageously providing a high level of EUV light transmittance. One disclosed embodiment comprises implementation of an EUV debris mitigation filter in an EUV source collector module utilizing a discharge-produced plasma (DPP) light source. One disclosed embodiment comprises implementation of an EUV debris mitigation filter in an EUV source collector module utilizing a laser-produced plasma (LPP) light source. | 09-18-2008 |
20080225246 | Apparatus and methods for keeping immersion fluid adjacent to an optical assembly during wafer exchange in an immersion lithography machine - Apparatus and methods keep immersion liquid in a space adjacent to an optical assembly. An optical assembly projects an image onto a substrate supported adjacent to the optical assembly by a substrate table. An insertion member insertable into the space between the optical assembly and the substrate, the substrate table, or both, divides the immersion liquid into a first portion and a second portion, the first portion disposed between the optical assembly and the insertion member, and the second portion disposed between the insertion member and the substrate, the substrate table, or both. The insertion member keeps the optical assembly in contact with the first portion when the substrate is moved away from being disposed adjacent to the optical assembly. | 09-18-2008 |
20080225247 | OPTICAL ELEMENT UNIT FOR EXPOSURE PROCESSES - An optical element unit including a first optical element module and a sealing arrangement is disclosed. The first optical element module occupies a first module space and includes a first module component of a first component type and an associated second module component of a second component type. The first component type is optical elements and the second component type being different from the first component type. The sealing arrangement separates the first module space into a first space and a second space and substantially prevents, at least in a first direction, the intrusion of substances from one of the first space and the second space into the other one of the first space and the second space. The first module component at least partially contacts the first space and, at least in its area optically used, not contacting the second space. The second module component at least partially contacts the second space. | 09-18-2008 |
20080225248 | Apparatus, systems and methods for removing liquid from workpiece during workpiece processing - Apparatus, systems and methods remove liquid from a workpiece. A first station subjects a workpiece to processing that leaves a liquid on a surface of the workpiece. A second station is disposed at a location spaced apart from the first station. A porous member is disposed between the first and second stations. The porous member has a liquid-phyllic surface that faces the workpiece and is spaced from a surface of the workpiece by a gap. The porous member has a length in a direction perpendicular to a movement direction in which the workpiece moves from the first station to the second station, the length being at least as large as a dimension of the workpiece in the direction perpendicular to the movement direction. | 09-18-2008 |
20080225249 | Exposure apparatus and method for producing device - An exposure apparatus includes an optical element via which a patterned beam is projected onto a substrate through exposure liquid filled in a space between the optical element and the substrate. The apparatus also includes a member having a surface and movable to a position at which the surface of the member faces the optical element. The apparatus also includes a cleaning system which cleans the surface of the member. | 09-18-2008 |
20080225250 | Exposure apparatus and method for producing device - A liquid immersion exposure apparatus includes an optical element via which a patterned beam is projected onto a substrate in an exposure operation, a liquid supply system having a supply port from which exposure liquid is supplied, and a member which has a surface and which is different from the substrate. In the exposure operation, the liquid supply system supplies the exposure liquid from the supply port to a space between the optical element and the substrate. In a cleaning operation, the member is moved to a position at which the surface of the member faces the optical element, and the liquid supply system supplies the exposure liquid from the supply port to a space between the optical element and the surface of the member. | 09-18-2008 |
20080225251 | Immersion optical lithography system having protective optical coating - An immersion lithography system is provided which includes an optical source operable to produce light having a nominal wavelength and an optical imaging system. The optical imaging system has an optical element in an optical path from the optical source to an article to be patterned thereby. The optical element has a face which is adapted to contact a liquid occupying a space between the face and the article. The optical element includes a material which is degradable by the liquid and a protective coating which covers the degradable material at the face for protecting the face from the liquid, the protective coating being transparent to the light, stable when exposed to the light and stable when exposed to the liquid. | 09-18-2008 |
20080231820 | Contamination prevention system, a lithographic apparatus, a radiation source and a method for manufacturing a device - A contamination prevention system constructed and arranged to prevent material emanating from a radiation source from propagating with radiation from the radiation source into or within a lithographic apparatus. The contamination prevention system includes a channel barrier constructed and arranged to traverse the radiation from the radiation source. The channel barrier includes a plurality of elongated channel members constructed and arranged to absorb or deflect the material. The channel members are rotatable around an axis of rotation by a drive connected to the channel barrier. The contamination prevention system also includes a cooling system provided on an outer surface of the channel barrier. | 09-25-2008 |
20080231821 | Exposure Method Of A Semiconductor Device - An exposure method of a semiconductor device includes the steps of: providing a wafer on which a photoresist is coated; rotating and aligning a reticle and the wafer so that a swing direction of a light source passing through the reticle is identical to a direction of a word line formed on the wafer; and performing an exposure process employing a polarized light source of an X direction, the polarized light source being generated by passing the light source through a dipole X-illumination system | 09-25-2008 |
20080231822 | Nozzle to help reduce the escape of immersion liquid from an immersion lithography tool - An immersion lithography tool with a diverter element, positioned between the immersion element and the substrate, for altering the “footprint” or shape of the meniscus of the body of immersion liquid between the last optical element and an immersion element on one side, and the substrate on the other side when the substrate is moved. The apparatus includes a substrate holder to hold the substrate having an imaging surface and a projection optical system having a last optical element. The projection optical system projects an image onto a target imaging area on the substrate through the immersion liquid filled in a gap between the imaging surface of the substrate and the last optical element. An immersion element maintains the immersion fluid in the gap. The diverter element is positioned between the immersion element and the substrate. The diverter element alters the footprint shape of the meniscus of the body of immersion liquid, thereby preventing or reducing the amount of leakage from a space between the substrate and the immersion element. | 09-25-2008 |
20080231823 | Apparatus and methods for reducing the escape of immersion liquid from immersion lithography apparatus - A stage assembly includes a workpiece table that supports the workpiece adjacent to an optical assembly. An environmental system supplies and removes immersion liquid to and from a space between the workpiece and the optical assembly to form an immersion area. The environmental system has a lower surface disposed opposite from an upper surface of the workpiece and/or the workpiece table. The lower surface is spaced a first distance from the workpiece and/or the workpiece table to form a meniscus at a periphery of the immersion area. An edge member is provided on the environmental system and extends past the lower surface of the environmental system so that a lower portion of the edge member is spaced a second distance, smaller than the first distance, from the upper surface of the workpiece and/or the workpiece table at a position beyond the periphery of the immersion area. | 09-25-2008 |
20080231824 | Liquid recovery member, exposure apparatus, exposing method, and device fabricating method - An exposure apparatus comprises a recovery member that recover a liquid. The recovery ability with which the recovery member recovers the liquid differs in accordance with the region of the recovery member. | 09-25-2008 |
20080231825 | Exposure Apparatus and method for producing device - An exposure apparatus includes an optical element via which a patterned beam is projected onto a substrate through exposure liquid filled in a space between the optical element and the substrate. The apparatus also includes a member having a flow passage in which exposure liquid flows, the flow passage being in fluidic communication with the space. The apparatus also includes a cleaning system which cleans the member. | 09-25-2008 |
20080239257 | Stage Apparatus and Exposure Apparatus - A stage apparatus which can highly accurately measure the position of a stage, while achieving a high throughput, and an exposure apparatus provided with the stage apparatus. A stage apparatus is provided with: air-conditioning apparatuses ( | 10-02-2008 |
20080239258 | Residual Pupil Asymmetry Compensator for a Lithography Scanner - A uniformity correction system may be used as an actuator for the correction of asymmetry scan-integrated illumination pupil fill that varies in the non-scanning direction of a lithography system. Instead of minimizing asymmetric opaque element insertion, opaque elements are inserted into an illumination beam to introduce an additional pupil asymmetry into the illumination beam. The compensating pupil asymmetry substantially nulls the original pupil asymmetry. To introduce the pupil asymmetry, a first opaque element can be moved into or out of the illumination beam in tandem with a second, opposing opaque element. Iterative feedback of both uniformity and pupil asymmetry ensure that both are substantially simultaneously optimized. | 10-02-2008 |
20080239259 | HEAT TREATMENT APPARATUS AND METHODS FOR THERMALLY PROCESSING A SUBSTRATE USING A PRESSURIZED GASEOUS ENVIRONMENT - Apparatus and methods for heating a substrate in a pressurized environment inside of a thermal processing system. The substrate is placed in a gaseous environment inside a processing chamber of the thermal processing system. The substrate is supported in the gaseous environment. The gas pressure inside the processing chamber is increased above atmospheric pressure, which increases the temperature of the gaseous environment. Heat is transferred from the pressurized gaseous environment to the substrate for thermally processing a layer on the substrate. | 10-02-2008 |
20080239260 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - There is provided an exposure apparatus capable of accurately performing an exposure process and a measurement process based on a liquid immersion method. The exposure apparatus (EX), which forms a liquid immersion area (AR | 10-02-2008 |
20080239261 | Run-off path to collect liquid for an immersion lithography apparatus - An exposure apparatus for transferring an image to a device includes an optical assembly, an immersion fluid system, and a device stage assembly. The optical assembly is positioned so that there is a gap above the device. The immersion fluid system fills the gap with an immersion fluid. The device stage assembly includes a sloped region that facilitates movement of the immersion fluid that exits the gap away from the device. The device stage assembly can include a collection region and a recovery system that recovers immersion fluid from the collection region. | 10-02-2008 |
20080246930 | Exposure Apparatus and Device Manufacturing Method - An exposure apparatus for exposing a substrate through a reticle. The apparatus includes a chamber in which an exposure process is to be carried out, a circulation system configured to circulate a gas through the chamber, a supplying system configured to supply water, supplied from a facility, to a heat source inside the exposure apparatus, and a heat exchanger configured to perform heat exchange between a gas discharged out of the chamber by the circulation system and the water to be supplied to the heat source by the supplying system. | 10-09-2008 |
20080246931 | Substrate processing method, exposure apparatus, and method for producing device - An immersion type lithographic apparatus includes at least one immersion space and an immersion system configured to at least partially fill the immersion space with a liquid. The apparatus is configured to rinse at least part of the immersion space with a rinsing liquid before the apparatus is used to project a patterned beam of radiation onto a substrate. | 10-09-2008 |
20080252864 | IMMERSION EXPOSURE TECHNIQUE - An exposure apparatus which has a projection optical system and exposes a substrate to a pattern of an original via the projection optical system with a gap between the projection optical system and the substrate filled with liquid. The apparatus includes a supply nozzle having a supply port to supply the liquid to the gap through the supply port, the supply port arranged on a first opposite surface to an exposed surface of the substrate, a recovery nozzle having a recovery port to recover the liquid through the recovery port, arranged more distant than the supply port from a final surface of the projection optical system, the recovery port arranged so as to oppose the exposed surface of the substrate, and a stepped portion having a second opposite surface to the exposed surface of the substrate. A distance between the second opposite surface and the exposed surface of the substrate is different from a distance between the first opposite surface and the exposured surface of the substrate. | 10-16-2008 |
20080252865 | Exposure apparatus, method for cleaning member thereof, maintenance method for exposure apparatus, maintenance device, and method for producing device - An exposure apparatus forms an immersion area of a liquid on the side of the image plane of a projection optical system and performs exposure of a substrate via the projection optical system and the liquid of the immersion region. The exposure apparatus has an optical cleaning unit which irradiates a predetermined irradiation light, having an optical cleaning effect, onto, for example, the upper surface of the substrate stage which makes contact with the liquid for forming the immersion area. Thus, it is possible to prevent deterioration of the exposure accuracy and measurement accuracy due to pollution of the member in contact with the liquid in the immersion region. | 10-16-2008 |
20080252866 | Lithographic apparatus and device manufacturing method - A lithographic apparatus includes a projection system configured to project a patterned radiation beam onto a substrate supported by a substrate table; a liquid supply system configured to supply a space between the projection system and the substrate with a liquid; a closing surface configured to provide a confining surface for liquid supplied by the liquid supply system in place of the substrate; and a closing surface positioning device configured to create and maintain a gap between the liquid supply system and the closing surface so that the liquid flows in the gap when the closing surface is used to confine the liquid supplied by the liquid supply system. | 10-16-2008 |
20080259291 | Pellicle, lithographic apparatus and device manufacturing method - A pellicle for integrated circuit equipment operating in an EUV range includes a multi-layered structure of alternating layers. The pellicle is constructed and arranged to reflect or absorb undesired radiation and to intercept debris to enhance the spectral purity of a radiation beam. | 10-23-2008 |
20080259292 | Lithographic apparatus and device manufacturing method - A lithographic apparatus is disclosed including a liquid supply system configured to at least partly fill a space between the projection system and the substrate with a liquid, an outlet configured to remove a mixture of liquid and gas passing through a gap between a liquid confinement structure of the liquid supply system and the substrate, and an evacuation system configured to draw the mixture through the outlet, the evacuation system having a separator tank arranged to separate liquid from gas in the mixture and a separator tank pressure controller, connected to a non-liquid-filled region of the separator tank, configured to maintain a stable pressure within the non-liquid-filled region. | 10-23-2008 |
20080259293 | EXPOSURE APPARATUS, TEMPERATURE REGULATING SYSTEM, AND DEVICE MANUFACTURING METHOD - An exposure apparatus which includes a plurality of units to be temperature-regulated, and transfers a pattern of a reticle onto a substrate while activating the plurality of units is disclosed. The exposure apparatus comprising a plurality of flow passages which run parallel to each other and through which a fluid to temperature-regulate the plurality of units flows, a bypass line which runs parallel to the plurality of flow passages so as to bypass the plurality of flow passages, and a flow rate controller configured to control a flow rate of fluid flowing through the bypass line, so that a total flow rate of the fluid flowing through the plurality of flow passages and the bypass line becomes a target flow rate. | 10-23-2008 |
20080259294 | Lithographic apparatus and device manufacturing method - In an immersion lithography apparatus in which immersion liquid is supplied to a localized space, the space is substantially polygonal in plan substantially parallel to the substrate. In an embodiment, two corners of the space have a radius of curvature no greater than the width of a transition zone between the space configured to contain liquid and a surrounding configured not to contain liquid. | 10-23-2008 |
20080259295 | Lithographic apparatus and device manufacturing method - An immersion lithographic apparatus has adaptations to prevent or reduce bubble formation in one or more gaps in the substrate table by preventing bubbles escaping from the gap into the beam path and/or extracting bubbles that may form in the gap. | 10-23-2008 |
20080259296 | Lithographic apparatus and device manufacturing method - In an immersion lithographic apparatus, a final element is disclosed having, on a surface nearest the substrate, a layer bonded to the surface and having an edge barrier, of the same material as the layer, extending from the layer away from the substrate to shield the final element from a liquid. In an embodiment, the final element is attached to the apparatus via the layer and/or edge barrier, which may be made of a material with a coefficient of thermal expansion lower than the coefficient of thermal expansion of the final element. | 10-23-2008 |
20080266533 | Exposure Apparatus, Exposure Method, and Method for Producing Device - Exposure apparatus exposes a substrate by irradiating the substrate with exposure light via a projection optical system and a liquid. The exposure apparatus is provided with a liquid immersion mechanism for supplying the liquid and recovering the liquid. The liquid immersion mechanism has an inclined surface, which is opposite to a surface of the substrate and is inclined with respect to the surface of the substrate, and a liquid recovering port of the liquid immersion mechanism is formed in the inclined surface. A flat portion is provided between the substrate and the projection optical system. A liquid immersion area can be maintained to be small. | 10-30-2008 |
20080266534 | PHOTOMASK HAZE REDUCTION VIA VENTILATION - Where a framed pellicle is mounted on a photomask, the framed pellicle comprises a pellicle frame and a pellicle membrane coupled to the pellicle frame, the pellicle frame has first and second apertures each communicating a first space surrounded by the photomask and the framed pellicle with a second space outside of the framed pellicle, exposing a photoresist layer formed on a substrate by flowing gas from within the first space to outside the framed pellicle through the first aperture while simultaneously exposing the photoresist layer to ultraviolet light through the pellicle membrane and the photomask. | 10-30-2008 |
20080273180 | Lithographic apparatus - A projection system suitable for use in a lithographic apparatus, the projection system including a transmissive optical element and a thermal profile corrector configured to change a thermal profile of the transmissive optical element, the thermal profile corrector including a transfer member and a thermal profile conditioner, the transfer member being moveable into and out of proximity with the transmissive optical element to transfer a desired thermal profile from the thermal profile conditioner into the transmissive optical element. | 11-06-2008 |
20080273181 | Cleaning device, a lithographic apparatus and a lithographic apparatus cleaning method - An immersion lithographic projection apparatus having a megasonic transducer configured to clean a surface and a method of using megasonic waves through a liquid to clean a surface of an immersion lithographic projection apparatus are disclosed. A flow, desirably a radial flow, is induced in the liquid. | 11-06-2008 |
20080273182 | Lithographic apparatus and device manufacturing method - A protrusion is provided on a barrier member which at least partly confines a liquid between a projection system and a substrate. The protrusion is closer to the projection system than other parts of the barrier member to promote a meniscus of liquid to adhere between the protrusion and the projection system. | 11-06-2008 |
20080278695 | Exposing method, exposure apparatus, and device fabricating method - An exposure apparatus comprises: a first detection apparatus, which detects the temperature of a liquid after the liquid contacts a prescribed object; and a processing apparatus that detects the relationship between the temperature of the liquid and the temperature of the object based on the detection result of the first detection apparatus. | 11-13-2008 |
20080278696 | Lithographic apparatus - Liquid is supplied to a space between the projection system and the substrate by an inlet. In an embodiment, an overflow region removes liquid above a given level. The overflow region may be arranged above the inlet and thus the liquid may be constantly refreshed and the pressure in the liquid may remain substantially constant. | 11-13-2008 |
20080278697 | Lithographic apparatus and device manufacturing method - A lithographic apparatus may include an optical element, such as an immersion fluid reservoir, which supported at least in part by a bearing, such as a gas bearing. To enable illumination by the lithographic apparatus of an edge of the substrate, a surrounding structure is provided that surrounds the substrate. A level parameter of the substrate, such as a thickness of the substrate, is measured by a sensor, such as a thickness sensor. By means of an actuator, the substrate table on which the substrate is or will be held is positioned with respect to the surrounding structure, such that a surface of the substrate would be on a substantially same level as a surface of the surrounding structure, thus enabling the optical element to transition from the surface of the substrate to the surface of the surrounding structure and vice versa. | 11-13-2008 |
20080284990 | Cleaning device, a lithographic apparatus and a lithographic cleaning method - An immersion lithographic projection apparatus having a megasonic transducer configured to clean a surface and a method of using megasonic waves through a liquid to clean a surface of an immersion lithographic projection apparatus are disclosed. A flow, desirably a radial flow, is induced in the liquid. | 11-20-2008 |
20080284991 | Exposure apparatus, immersion system, exposing method, and device fabricating method - An exposure apparatus exposes a substrate with exposure light through a liquid. The exposure apparatus comprises: a liquid immersion member, which has a liquid contact surface that includes a liquid recovery area; and a porous member, which are disposed at a first side of the liquid contact surface; wherein, the liquid on an object, which is disposed at the first side of the liquid contact surface, is recovered from the liquid recovery area. | 11-20-2008 |
20080284992 | EXPOSURES SYSTEM INCLUDING CHEMICAL AND PARTICULATE FILTERS CONTAINING CHEMICALLY MODIFIED CARBON NANOTUBE STRUCTURES - An exposure system for exposing a photoresist layer on a top surface of a wafer to light. The exposure system including: an environment chamber containing a light source, one or more focusing lenses, a mask holder, a slit and a wafer stage, the light source, all aligned to an optical axis, the wafer stage moveable in two different orthogonal directions orthogonal to the optical axis, the mask holder and the slit moveable in one of the two orthogonal directions; a filter in a sidewall of the environment chamber, the filter including: a filter housing containing chemically active carbon nanotubes, the chemically active carbon nanotubes comprising a chemically active layer formed on carbon nanotubes or comprising chemically reactive groups on sidewalls of the carbon nanotubes; and means for forcing air or inert gas first through the filter then into the environment chamber and then out of the environment chamber. | 11-20-2008 |
20080284993 | REDUCING CONTAMINATION IN IMMERSION LITHOGRAPHY - A wafer chuck assembly includes a first chuck section configured to hold a semiconductor wafer on a support surface thereof, and a second chuck section removably attached to the first chuck section. The first chuck section has a gap therein, the gap located adjacent an outer edge of the wafer, and the gap containing a volume of immersion lithography fluid therein. A fluid circulation path is configured within the first chuck section so as to facilitate the radial outward movement of the immersion lithography fluid in the gap, thereby maintaining a meniscus of the immersion lithography fluid at a selected height with respect to a top surface of the semiconductor wafer. | 11-20-2008 |
20080284994 | REDUCING CONTAMINATION IN IMMERSION LITHOGRAPHY - A method for reducing contamination in immersion lithography includes retaining a semiconductor wafer on a support surface of a wafer chuck, the wafer chuck having a gap therein, the gap located adjacent an outer edge of the wafer, and the gap containing a volume of immersion lithography fluid therein; and providing a fluid circulation path within the wafer chuck so as to facilitate the radial outward movement of the immersion lithography fluid in the gap, thereby maintaining a meniscus of the immersion lithography fluid at a selected height with respect to a top surface of the semiconductor wafer. | 11-20-2008 |
20080284995 | Lithographic apparatus and substrate edge seal - A method of helping to prevent liquid reaching under a substrate is disclosed that includes introducing a gas at a bottom edge of the substrate so that a buffer is created at the edge of the substrate, helping to keep immersion liquid that is present at the top and edge of the substrate away from the bottom surface of the substrate. | 11-20-2008 |
20080291406 | Assembly comprising a radiation source, a reflector and a contaminant barrier - An assembly including a radiation reflector and a contaminant barrier is disclosed. The contaminant barrier is arranged to receive radiation from a radiation source and to reflect that radiation towards the radiation reflector, and the radiation reflector is arranged to reflect the radiation, received from the contaminant barrier, back towards the contaminant barrier. | 11-27-2008 |
20080291407 | Lithographic apparatus and device manufacturing method - A lithographic apparatus is disclosed wherein a liquid supply system is configured to at least partly fill a region between a substrate and a projection system of the lithographic apparatus with a liquid and having a liquid confinement structure fixed in a plane substantially perpendicular to an optical axis of the projection system and configured to cooperate with a substrate table configured to hold the substrate in order to restrict the liquid to a region above an upper surface of the substrate table so that a side of the substrate to be exposed is substantially covered in the liquid during exposure. | 11-27-2008 |
20080291408 | Projection optical system, exposing method, exposure apparatus, and device fabricating method - A projection optical system projects an image of a first surface to a second surface through a liquid. The projection optical system comprises an optical element, wherein the first surface side contacts a gas and the second surface side contacts the liquid. The optical element has an incident surface, which is convex toward the first surface, an emergent surface, an outer circumferential surface between an outer circumference of the incident surface and an outer circumference of the emergent surface, and holding parts, which are formed at a circumferential edge part of the outer circumferential surface so that they project toward the second surface. | 11-27-2008 |
20080291409 | IMMERSION EXPOSURE TECHNIQUE - It is an object of this invention to provide an exposure technique which uses immersion method and is highly practical. For example, an exposure apparatus includes a substrate stage which holds and moves a substrate, and a supply unit which has a supply nozzle and supplies a liquid to the surface of the substrate. The opening of the supply nozzle is arranged at a side of a projection optical system so as to oppose the substrate, and the supply unit supplies the liquid in accordance with movement of the substrate by the substrate stage. | 11-27-2008 |
20080291410 | Exposure apparatus and method for manufacturing device - An immersion exposure apparatus includes an optical member, a supply outlet that supplies a liquid to a space between an upper surface of the optical member and a movable object, and an annular member at least a portion of which is arranged around the optical member, the space between the upper surface of the optical member and the object being filled with the liquid. | 11-27-2008 |
20080297744 | Lithographic apparatus and device manufacturing method - An immersion lithographic apparatus is described with a drain configured to remove liquid from a gap between an edge of the substrate and the substrate table on which the substrate is supported. The drain is provided with a means to provide liquid to the drain irrespective of the position of the substrate table and/or a means to saturate gas within the drain. Those measures reduce the variations in heat load due to evaporation of liquid in the drain. | 12-04-2008 |
20080297745 | PROJECTION OBJECTIVE FOR IMMERSION LITHOGRAPHY - In a projection objective provided for imaging a pattern arranged in an object plane of the projection objective into an image plane of the projection objective with the aid of an immersion medium arranged between a last optical element of the projection objective in the light path and the image plane, the last optical element has a transparent substrate and a protective layer system that is fitted to the substrate, is provided for contact with the immersion medium and serves for increasing the resistance of the last optical element to degradation caused by the immersion medium. | 12-04-2008 |
20080297746 | Exposure method, exposure apparatus, and method for producing device - A liquid immersion exposure apparatus in which a substrate is exposed with an exposure beam, includes a projection optical system by which the substrate is exposed to the exposure beam, a first inlet disposed at a first position, which is capable of supplying a first liquid to a space adjacent to a bottom surface of the projection optical system, and a second inlet disposed at a second position which is different from the first position, the second inlet being capable of supplying a second liquid that is different from the first liquid to the space. | 12-04-2008 |
20080304025 | APPARATUS AND METHOD FOR IMMERSION LITHOGRAPHY - An immersion lithography apparatus includes a lens assembly having an imaging lens, a wafer stage for securing a wafer beneath the lens assembly, a fluid module for providing a fluid into a space between the lens assembly and the wafer, and a plurality of extraction units positioned proximate to an edge of the wafer. The extraction units are configured to operate independently to remove a portion of the fluid provided into the space between the lens assembly and the wafer. | 12-11-2008 |
20080304026 | IMMERSION EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion exposure apparatus includes a projection optical system, a first supply unit, and a second supply unit. The projection optical system projects exposure light from an original onto a substrate. The first supply unit forms a first liquid film in a space formed between the projection optical system and the substrate by supplying first liquid. The space includes a light path of the exposure light. The second supply unit forms a second liquid film around the first liquid film by supplying second liquid that is different from the first liquid. | 12-11-2008 |
20080304027 | Cooling apparatus and substrate treating apparatus - The object of the present invention is to provide a cooling apparatus which makes it possible to cool a substrate uniformly in a short period of time even if the substrate has a large area. The cooling apparatus | 12-11-2008 |
20080304028 | Lithographic apparatus and radiation system - A lithographic apparatus includes a radiation system configured to form a projection beam of radiation. The radiation system includes a radiation source that emits radiation, a filter system for filtering debris particles out of the radiation beam, and an illumination system configured to condition a radiation beam. A projection system is configured to project the projection beam of radiation onto a substrate. The filter system includes a plurality of foils for trapping the debris particles. At least one foil includes at least two parts that have a mutually different orientation and that are connected to each other along a substantially straight connection line. Each of the two parts substantially coincide with a virtual plane that extends through a predetermined position that substantially coincides with the radiation source. The straight connection substantially line coincides with a virtual straight line that also extends through the predetermined position. | 12-11-2008 |
20080309891 | APPARATUS AND METHOD FOR IMMERSION LITHOGRAPHY - Immersion lithography apparatus and method using a shield module are provided. An immersion lithography apparatus including a lens module having an imaging lens, a substrate table positioned beneath the lens module and configured for holding a substrate for processing, a fluid module for providing an immersion fluid to a space between the lens module and the substrate on the substrate table, and a shield module for covering an edge of the substrate during processing. | 12-18-2008 |
20080309892 | IN-LINE PARTICLE DETECTION FOR IMMERSION LITHOGRAPHY - An immersion lithography system, comprising a lens unit configured to project a pattern from an end thereof and onto a wafer, a hood unit configured to confine an immersion fluid to a region of the wafer surrounding the end of the lens unit, a wafer stage configured to position the wafer proximate the end of the lens unit, and at least one of an image capturing apparatus and a scattering light detection apparatus, wherein the image capturing apparatus is coupled to the wafer stage and is configured to capture an image of a surface of the hood unit proximate the wafer stage, and wherein the scattering light detection apparatus is proximate the end of the lens unit and the hood unit and is configured to detect particles on a surface of the wafer stage. | 12-18-2008 |
20080309893 | Contamination prevention system, lithographic apparatus, radiation source, and method for manufacturing a device - A contamination prevention system is constructed and arranged to prevent material from propagating with radiation into a lithographic apparatus. The contamination prevention system includes a rotatable carrier provided with a plurality of generally radially outwardly extending blades. The blades are constructed and arranged to absorb or deflect the material. The system also includes a stationary shaft, and a bearing constructed and arranged to rotate the rotatable carrier and the blades around the shaft. The rotatable carrier is provided with a space for at least partially receiving a portion of the shaft. | 12-18-2008 |
20080309894 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS AND MEASURING DEVICE FOR A PROJECTION LENS - A microlithographic projection exposure apparatus includes a projection lens that is configured for immersion operation. For this purpose an immersion liquid is introduced into an immersion space that is located between a last lens of the projection lens on the image side and a photosensitive layer to be exposed. To reduce fluctuations of refractive index resulting from temperature gradients occurring within the immersion liquid, the projection exposure apparatus includes heat transfer elements that heat or cool partial volumes of the immersion liquid so as to achieve an at least substantially homogenous or at least substantially rotationally symmetric temperature distribution within the immersion liquid. | 12-18-2008 |
20080309895 | Dynamic fluid control system for immersion lithography - An apparatus includes a stage that supports a substrate, an optical system having a last optical element, that projects an image onto the substrate that is positioned spaced apart from the last optical element by a gap at least partly filled with an immersion liquid, and a pressure control system having an actuator, that controls pressure of the immersion liquid in the gap using the actuator. | 12-18-2008 |
20080309896 | Exposure method, exposure apparatus, and method for producing device - An exposure method forms an immersion area in at least a part of a substrate including a projection area of a projection optical system and projects an image of a mask pattern onto the substrate through liquid between the projection optical system and the substrate. Distribution of the mask pattern is measured and adjustment is made so that a desired image of the pattern is projected onto the substrate according to distribution of the exposure light incident into the liquid between the projection optical system and the substrate when exposing the substrate. It is possible to expose the substrate with the pattern accurately regardless of the distribution of the mask pattern. | 12-18-2008 |
20080316441 | Lithographic apparatus having parts with a coated film adhered thereto - A lithographic apparatus is disclosed having a removable adhesive film carrying a coating on at least a part of the apparatus. In an embodiment, a liquid supply system having a liquid confinement structure extending along at least part of a boundary of a space between a projection system and a substrate support is disclosed, wherein the film carrying the coating is on at least part of the liquid confinement structure. | 12-25-2008 |
20090002647 | HYDROCARBON GETTER FOR LITHOGRAPHIC EXPOSURE TOOLS - Carbon contamination of optical elements in an exposure tool is minimized by incorporating a hydrocarbon getter. Embodiments include EUV lithography tools provided with at least one hydrocarbon getter comprising a substrate and a high energy source, such as an electron gun or separate EUV source, positioned to direct an energy beam, having sufficient energy to crack heavy hydrocarbons and form carbon, on the substrate. Embodiments also include exposure tools equipped with a hydrocarbon getter comprising an energy source positioned to impinge a beam of energy on a quartz crystal thickness monitor, a residual gas analyzer, and a controller to control the electron-current and maintain the amount of hydrocarbons in the system at a predetermined low level. | 01-01-2009 |
20090002648 | Gas curtain type immersion lithography tool using porous material for fluid removal - A gas curtain type immersion lithography apparatus has a fluid removing porous region adjacent the gas inlet to prevent evaporative cooling. The apparatus includes a substrate holder which holds a substrate having an imaging surface and a projection optical system having a last optical element. The projection optical system projects an image onto a target imaging area on the substrate through an immersion fluid filled in a gap between the imaging surface of the substrate and the last optical element. An immersion element maintains the immersion fluid in the gap. Gas is provided through an inlet into the gap. A porous region is provided adjacent the gas inlet. Immersion fluid that collects near the gas inlet is removed by the porous region. | 01-01-2009 |
20090002649 | IMMERSION EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion exposure apparatus which exposes a substrate through a liquid includes an illumination optical system, a projection optical system, a chuck, a liquid support plate, a stage, and a liquid repellency recovery unit. The illumination optical system illuminates an original with exposure light from exposure light sources. The projection optical system projects a pattern of the original onto the substrate. The chuck holds the substrate. The liquid support plate supports a liquid together with the substrate held by the chuck. A surface of the liquid support plate includes a surface of a metal oxide. The stage is provided with a chuck and the liquid support plate and is movable. The liquid repellency recovery unit is configured to recover the liquid repellency of the surface of the metal oxide with regard to the liquid. | 01-01-2009 |
20090002650 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus which exposes a pattern of an original onto a substrate via projection optical system in a state where a gap between the projection optical system and the substrate is filled with a liquid, comprising a liquid supply unit which supplies liquid to the gap, a front side degassing unit provided so as to perform a degassing using nitrogen gas to the liquid prior to being supplied to the gap, a dissolved gas measurement unit provided downstream side of the front side degassing unit, and a rear side degassing unit provided downstream side of the dissolved gas measurement unit, wherein the front side degassing unit has a dissolved oxygen control unit which controls supply amount of nitrogen gas so as to be the amount of dissolved oxygen, measured by the dissolved gas measurement unit, at a predetermined value. | 01-01-2009 |
20090002651 | EXPOSURE APPARATUS AND METHOD - An apparatus projects a pattern formed on an original plate onto a substrate through a projection optical system and liquid in a state where a gap between the substrate and a face of the projection optical system is filled with liquid. The apparatus includes a movable substrate stage to hold the substrate and including at least a mark unit or a measurement unit having a light-receiving unit, a determination device to determine whether the liquid is attached to the mark unit or the measurement unit, and a liquid supply device to attach liquid to at least the mark unit or the measurement unit before the attached liquid is air-dried if liquid is determined to be attached to the mark unit or the measurement unit. | 01-01-2009 |
20090002652 | Lithographic apparatus and device manufacturing method - In a lithographic projection apparatus, a structure surrounds a space between the projection system and a substrate table of the lithographic projection apparatus. A gas seal is formed between said structure and the surface of said substrate to contain liquid in the space. | 01-01-2009 |
20090002653 | Lithographic apparatus having encoder type position sensor system - A lithographic apparatus is disclosed that includes an encoder type sensor system configured to measure a position of a substrate table of the lithographic apparatus relative to a reference structure. The encoder type sensor system includes an encoder sensor head and an encoder sensor target and the lithographic apparatus comprises a recess to accommodate the encoder sensor target. | 01-01-2009 |
20090002654 | Immersion Lithography Apparatus and Method of Performing Immersion Lithography - An immersion lithography apparatus comprises an optical system having a liquid delivery unit. The liquid delivery unit is arranged to deliver a layer of an immersion liquid onto a surface of a wafer as well as an annulus of a barrier liquid adjacent an exterior wall of the immersion liquid. The presence of the barrier liquid prevents ingress to the immersion liquid of a gas external to the immersion liquid. | 01-01-2009 |
20090002655 | Exposure apparatus, exposure method, and method for producing device - A liquid immersion exposure apparatus includes an optical member through which a substrate is exposed with an exposure beam, and a liquid supply system having a supply port from which a liquid is supplied. The liquid supply system supplies the liquid from the supply port to a space between the optical member and the substrate during the exposure. The optical member has a lyophobic surface which is lyophobic for the liquid. | 01-01-2009 |
20090009733 | EXPOSURE APPARATUS - An exposure apparatus has a projection optical system configured to project light from a reticle onto a wafer and exposes the wafer to the light in a state where a gap between the projection optical system and the wafer is filled with liquid. In the exposure apparatus, a chuck for holding the wafer has a contact portion that is contactable with the wafer. At least this contact portion of the chuck has a hydrophilic surface having a contact angle of 90° or less with respect to the liquid. | 01-08-2009 |
20090009734 | Lithographic apparatus and device manufacturing method - In an immersion lithography apparatus, ultrasonic waves are used to atomize liquid on a surface of the substrate. | 01-08-2009 |
20090015804 | Cleaning device and a lithographic apparatus cleaning method - A cleaning tool to clean a surface of a component of a lithographic apparatus is disclosed. The cleaning tool includes a sonic transducer, a liquid supply device configured to provide liquid to a reservoir between the surface to be cleaned and the sonic transducer, and a liquid outlet configured to remove liquid provided by the liquid supply device, the cleaning tool constructed and arranged such that, in use, liquid flows into the outlet under the influence of gravity. | 01-15-2009 |
20090015805 | Cleaning device, a lithographic apparatus and a lithographic apparatus cleaning method - A cleaning tool to clean a surface of a liquid confinement system of an immersion lithographic apparatus is disclosed, the liquid confinement system having an aperture to allow passage of a beam of radiation therethrough of an immersion lithographic apparatus. The cleaning tool includes a sonic transducer, a reservoir configured to hold liquid between the sonic transducer and the surface to be cleaned, and a barrier positioned in the reservoir under the aperture to form, in use, a shield to sonic waves. | 01-15-2009 |
20090015806 | Environmental control apparatus, stage apparatus, exposure apparatus and device manufacturing method - An environment control apparatus comprises a first member, which has a first opening, which forms a first space and is formed at least a part and a first surface provided in the vicinity of the first opening, and a movable member, which has a second surface, which is arranged so as to cover the first opening and opposes the first surface, and is capable of relative movement with respect to the first opening while being guided by the first surface, and a gas seal mechanism is formed between the first surface and the second surface, and it is capable of setting the first space to a prescribed status. | 01-15-2009 |
20090015807 | Exposure apparatus and device manufacturing method - An immersion lithographic system for patterning a work piece arranged at an image plane and covered at least partly with a layer sensitive to electromagnetic radiation, includes: a source emitting electromagnetic radiation onto an object plane; a mask arranged at the object plane to relay the electromagnetic radiation toward the work piece; and an immersion medium contacting at least a portion of an immersion optics of the lithographic system and a portion of the work piece. The immersion medium is supplied through at least one orifice arranged in the immersion optics. | 01-15-2009 |
20090015808 | Exposure method, substrate stage, exposure apparatus, and device manufacturing method - An exposure apparatus exposes a substrate by projecting a pattern image onto the substrate through a liquid. The exposure apparatus includes a projection optical system by which the pattern image is projected onto the substrate, and a movable member which is movable relative to the projection optical system. A liquid-repellent member, at least a part of a surface of which is liquid-repellent, is provided detachably on the movable member, the liquid-repellent member being different from the substrate. | 01-15-2009 |
20090021705 | Debris prevention system, radiation system, and lithographic apparatus - A debris prevention system is constructed and arranged to prevent debris that emanates from a radiation source from propagating with radiation from the radiation source into or within a lithographic apparatus. The debris prevention system includes an aperture that defines a maximum emission angle of the radiation coming from the radiation source, and a first debris barrier having a radiation transmittance. The first debris barrier includes a rotatable foil trap. The debris prevention system also includes a second debris barrier that has a radiation transmittance. The first debris barrier is configured to cover a part of the emission angle and the second debris barrier is configured to cover another part of the emission angle. | 01-22-2009 |
20090021706 | Immersion fluid containment system and method for immersion lithogtraphy - A ferrofluid is provided adjacent to the immersion area between a projection optical system (PL) and substrate and receives a magnetic force so as to form a ferrofluidic seal ( | 01-22-2009 |
20090021707 | Lithographic apparatus and device manufacturing method - An immersion lithography apparatus includes a liquid supply system configured to supply a liquid to a space through which a beam of radiation passes, the liquid having an optical property that can be tuned by a tuner. The space may be located between the projection system and the substrate. The tuner is arranged to adjust one or more properties of the liquid such as the shape, composition, refractive index and/or absorptivity as a function of space and/or time in order to change the imaging performance of the lithography apparatus. | 01-22-2009 |
20090027635 | Lithographic Apparatus and Contamination Removal or Prevention Method - An immersion lithographic apparatus is cleaned by use of a cleaning liquid consisting essentially of ultra-pure water and (a) a mixture of hydrogen peroxide and ozone, or (b) hydrogen peroxide at a concentration of up to 5%, or (c) ozone at a concentration of up to 50 ppm, or (d) oxygen at concentration of up to 10 ppm, or (e) any combination selected from (a)-(d). | 01-29-2009 |
20090027636 | Lithographic Apparatus, Reflective Member And A Method of Irradiating The Underside Of A Liquid Supply System - A lithographic apparatus that includes a reflector configured to reflect a cleaning beam of radiation projected through a projection system onto an underside of a liquid retrieval system is disclosed. The construction of the reflector is also disclosed as is a method for irradiating the underside of a liquid supply system for use in cleaning. | 01-29-2009 |
20090027637 | Debris prevention system and lithographic apparatus - A debris prevention system is constructed and arranged to prevent debris emanating from a radiation source from propagating with radiation from the radiation source into or within a lithographic apparatus. The debris prevention system includes a first foil trap that is rotatable around an axis of rotation, and a second foil trap that at least partly encloses the first foil trap. The second foil trap includes a plurality of foils optically open respective to a central location for placement of a radiation source and optically closed respective to directions perpendicular to the axis of rotation. | 01-29-2009 |
20090027638 | Lithographic appararus and method - A lithographic apparatus includes a projection system configured to project a patterned beam of radiation onto a target portion of a substrate. The projection system includes a first gas-conditioned sub-environment and a second gas-conditioned sub-environment. The apparatus includes a gas control unit configured to control the feeding of conditioned gas into the first sub-environment and into the second sub-environment via the first sub-environment so as to prevent contamination from the second sub-environment to the first sub-environment. The apparatus includes a gate configured to leak the conditioned gas at a rate from the second sub-environment to ambient atmosphere, and a detector configured to detect at least one property of the second gas-conditioned environment. | 01-29-2009 |
20090027639 | EUV Reticle Handling System and Method - An enclosure for protecting at least a pattern side and an opposing side of a reticle is disclosed. The enclosure includes a first and second part that form an enclosure around a reticle to be protected during handling, inspection, storage, and transport. The enclosure in conjunction with a heater and heat sink provides thermophoretic protection of an enclosed reticle. | 01-29-2009 |
20090033889 | Lithographic apparatus and device manufacturing method - A lithographic apparatus includes an illumination system configured to condition a beam of radiation; a pattern support configured to hold a patterning device, the patterning device configured to pattern the beam of radiation to form a patterned beam of radiation; a substrate holder configured to hold a substrate, the substrate holder including a support surface in contact with the substrate; a projection system configured to project the patterned beam of radiation onto the substrate; and a cleaning system including a cleaning unit, the cleaning unit constructed and arranged to generate radicals on the support surface of the substrate holder to remove contamination therefrom. | 02-05-2009 |
20090033890 | Exposure apparatus, substrate processing method, and device producing method - An exposure apparatus includes a detector which detects a defect in a thin film formed on a substrates. When the detector is provided for liquid immersion exposure in which the substrate is exposed through liquid, outflow of the liquid due to by any defect in the thin film is detected before the liquid outflows, thereby suppressing reduction in throughput in producing device and preventing any problem or inconvenience from occurring in the exposure apparatus. | 02-05-2009 |
20090033891 | Lithographic apparatus and device manufacturing method - An immersion lithographic apparatus is disclosed having comprising a pump and buffer volume configured to remove remaining liquid from a substrate, the pump and the buffer volume configured to generate a vacuum cleaning gas flow near the substrate by gas suction into the buffer volume. In an embodiment, since gas flow is needed only a limited amount of time (ordinarily less than 5%), evacuation may be performed using only a moderately powered vacuum pump. In addition or alternatively, the buffer volume may be used as a backup volume buffer configured to provide gas vacuum suction, e.g., in case of a vacuum supply outage. | 02-05-2009 |
20090040481 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus that exposes a substrate via liquid includes a substrate stage configured to hold the substrate and to move. The substrate stage includes a top plate, a substrate holder disposed on the top plate and configured to hold the substrate, and a support-plate holder disposed on the top plate as a separate body from the substrate holder and configured to hold a support plate while surrounding the substrate held by the substrate holder. The substrate holder includes an extension having a surface facing a back surface of the support plate held by the support-plate holder. The support-plate holder is provided with a collecting port therein through which liquid that has entered a gap between the back surface of the support plate and the surface of the extension is collected. | 02-12-2009 |
20090040482 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus projects a pattern of an original onto a substrate through a projection optical system and a liquid. The exposure apparatus includes a supply unit adapted to supply liquid to a space between the projection optical system and a substrate, a suction unit adapted to suck a fluid in the space, and a fluid sensor that detects a change in the kind of fluid being sucked by the suction unit, and a controller configured to control the operating state of the suction unit in response to the detection by the fluid sensor of the change in kind of the fluid being sucked by the suction unit. | 02-12-2009 |
20090046260 | Lithographic apparatus and thermal optical manipulator control method - A control method is provided for controlling a heating of a thermal optical element, the thermal optical element having a matrix of heater elements. The method includes stabilizing a nominal temperature of the thermal optical element with a feedback loop to control the heating of heater elements; providing a desired temperature profile of the thermal optical element by a set point signal; determining a feedforward control of the heater elements from the set point signal; and forwardly feeding an output of the feedforward control into the feedback loop. | 02-19-2009 |
20090046261 | Lithographic apparatus and device manufacturing method - A manifold is provided between an outlet of a fluid supply system for an immersion lithographic apparatus and a separator. The manifold is provided with a pressure sensor which passes the measured pressure in the manifold to a mass flow controller. The mass flow controller controls a leak flow into the manifold based on the measured pressure in the manifold so as to maintain a desired pressure in the manifold. | 02-19-2009 |
20090051888 | Liquid jet and recovery system for immersion lithography - A liquid immersion lithography apparatus includes a projection system having a last element. The projection system projects an image onto a workpiece to expose the workpiece through a liquid filled in a space between the last element and the workpiece. A liquid supply device includes a supply inlet that supplies the liquid from the supply inlet to the space between the workpiece and the last element during the exposure. The last element includes an optical element and a plate. The plate prevents the degradation of the optical element that may be affected by contact with the liquid. | 02-26-2009 |
20090059189 | PELLICLE FOR USE IN A MICROLITHOGRAPHIC EXPOSURE APPARATUS - A pellicle for use in microlithographic exposure apparatus ( | 03-05-2009 |
20090059190 | MOVABLE BODY APPARATUS, EXPOSURE APPARATUS AND OPTICAL SYSTEM UNIT, AND DEVICE MANUFACTURING METHOD - The upper end of a static gas bearing member of a wafer side seal unit is connected to an edge section on the outgoing side of an exposure beam of a chamber in an air tight state via bellows, and the lower end surface is in a state forming a predetermined clearance with a wafer and a wafer holder. By this arrangement, the inside of the chamber is isolated from the outside. Accordingly, it becomes possible to maintain a vacuum environment in the periphery of the optical path of the exposure beam without arranging a vacuum chamber to house a wafer, a wafer holder, and a wafer stage, which allows the size of the entire exposure apparatus to be reduced, and also makes it easy to have access to the vicinity of the wafer stage. | 03-05-2009 |
20090059191 | SUPPORT PLATE, EXPOSURE APPARATUS HAVING THE SUPPORT PLATE, AND A DEVICE MANUFACTURING METHOD USING THE EXPOSURE APPARATUS - An exposure apparatus exposes a substrate through a liquid. The apparatus includes a stage that holds the substrate and moves, and a support plate disposed on the stage and around the periphery of the substrate and supporting the liquid together with the substrate. The support plate includes a liquid-repellent structure portion on the surface of which is formed a texture repellent to the liquid, and a flat portion on the surface of which is formed a film repellent to the liquid. | 03-05-2009 |
20090059192 | Lithographic apparatus and device manufacturing method - A lithographic apparatus includes a projection system configured to project a patterned radiation beam onto a target portion of a substrate. The apparatus also includes a barrier member, surrounding a space between the projection system and, in use, the substrate, to define in part with the projection system a reservoir for liquid. A radially outer surface of the barrier member facing a portion of projection system and a radially outer surface of the portion of the projection system facing the barrier member each have a liquidphobic outer surface. The liquidphobic outer surface of the barrier member and/or the liquidphobic outer surface of the portion of the projection system has an inner edge that defines in part the reservoir. | 03-05-2009 |
20090066921 | METHOD FOR PRODUCING A MARKER ON A SUBSTRATE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A method of producing a marker on a substrate includes projecting a patterned beam on a layer of resist disposed on a substrate in a lithographic apparatus to create a latent marker; and locally heating the substrate at the marker location in the lithographic apparatus to transform the latent marker into a detectable marker. | 03-12-2009 |
20090066922 | Exposure method and apparatus, maintenance method and device manufacturing method - An exposure method includes holding a substrate held by a substrate holder on a substrate stage moving on an image plane side of a projection optical system; forming an immersion area the image plane side of the projection optical system by using a liquid supplied from a liquid supplying mechanism; and exposing a substrate by exposure light via the projection optical system and the immersion area. During a period when exposure of the substrate is not performed, an upper portion of the substrate holder is cleaned by moving the substrate stage relative to the immersion area, and an upper portion of a measuring stage is cleaned by moving the measuring stage relative to the immersion area. A cleaning liquid can be used as a liquid for forming the immersion area during cleaning. High-resolution immersion exposure is performed at a high throughput by suppressing entering of foreign materials into the liquid. | 03-12-2009 |
20090066923 | Exposure apparatus and device manufacturing method - An exposure apparatus which prevents damages due to leaked out liquid from expanding and can maintain exposure accuracy and measuring accuracy. The exposure apparatus includes a first stage which is movable relative to the projection optical system, a second stage which is movable relative to the projection optical system, and a liquid immersion system that is capable of forming a liquid immersion region of a liquid under the projection optical system. The first and second stages are moved in a state in which a first overhang portion provided at the first stage and a second overhang portion provided at the second stage are brought close to or in contact with each other, such that the liquid immersion region is moved from one of upper surfaces of the first and second overhang portions to the other of the upper surfaces of the first and second overhang portions. | 03-12-2009 |
20090066924 | Lithographic apparatus, device manufacturing method, and use of a radiation collector - A lithographic apparatus, comprising a collector being constructed to receive radiation from a radiation source and transmit radiation to an illumination system, wherein the collector is provided with at least one fluid duct, the apparatus including a temperature conditioner to thermally condition the collector utilizing the fluid duct of the collector, the temperature conditioner being configured to feed a first fluid to the fluid duct during a first period, and to feed a second fluid to the fluid duct during at least a second period. | 03-12-2009 |
20090073395 | Lithographic apparatus and device manufacturing method - An immersion lithographic apparatus is disclosed that includes a fluid confinement system configured to confine fluid to a space between a projection system and a substrate. The fluid confinement system includes a fluid inlet to supply fluid, the fluid inlet connected to an inlet port and an outlet port. The immersion lithographic apparatus further includes a fluid supply system configured to control fluid flow through the fluid inlet by varying the flow rate of fluid provided to the inlet port and the flow rate of fluid removed from the outlet port. | 03-19-2009 |
20090073396 | Lithographic apparatus and device manufacturing method - A lithographic apparatus is arranged to project a pattern from a patterning device onto a substrate is disclosed. The lithographic apparatus includes an illumination system and an outlet connected to a pumping system to pump away gas from between an inner wall and outer wall of the illumination system or, if a radiation source is present, between the inner wall of the illumination system and an inner wall of the radiation source. | 03-19-2009 |
20090073397 | Laminar Flow Gas Curtains for Lithographic Applications - Laminar flow gas curtains can be used in a lithographic apparatus to maintain a gaseous purity level within one or more components of the lithographic apparatus such as, for example, a wafer stage and a wafer handler system. In an embodiment, a method to design a flow conditioning channel for use in such gas curtains can include selecting a kinetic purge power (KPP) factor based on a predefined throw distance (L′) to a channel length (L) ratio (L′L), selecting the channel length (L) to a channel diameter (D) ratio (L/D) based on the KPP factor, determining the channel length for the predefined throw distance based on the (L′/L) ratio, and determining the channel diameter D based on the channel length to the channel diameter (L/D) ratio and the channel length L The channel length L and channel length D can be designed based on a predetermined nozzle exit Reynold's number. | 03-19-2009 |
20090073398 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - The disclosure relates to a microlithographic projection exposure apparatus and a microlithographic projection exposure apparatus, as well as related components, methods and articles made by the methods. The microlithographic projection exposure apparatus includes an illumination system and a projection objective. The illumination system can illuminate a mask arranged in an object plane of the projection objective. The mask can have structures which are to be imaged. The method can include illuminating a pupil plane of the illumination system with light. The method can also include modifying, in a plane of the projection objective, the phase, amplitude and/or polarization of the light passing through that plane. The modification can be effected for at least two diffraction orders in mutually different ways. A mask-induced loss in image contrast obtained in the imaging of the structures can be reduced compared to a method without the modification. | 03-19-2009 |
20090073399 | EXPOSURE APPARATUS - An exposure apparatus of the present invention is configured to flow liquid in an area between an optical element | 03-19-2009 |
20090079949 | Lithographic apparatus and device manufacturing method - In immersion lithography after exposure of a substrate is complete, a detector is used to detect any residual liquid remaining on the substrate and/or substrate table. | 03-26-2009 |
20090086175 | METHODS RELATING TO IMMERSION LITHOGRAPHY AND AN IMMERSION LITHOGRAPHIC APPARATUS - A method of operating a fluid confinement system of an immersion lithographic apparatus is disclosed. The performance of the liquid confinement system is measured in several different ways. On the basis of the result of the measurement of performance, a signal indicating, for example, that a remedial action may need to be taken is generated. | 04-02-2009 |
20090091715 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus configured to expose a substrate through a liquid includes a projection optical system configured to project an image of a pattern formed on an original plate onto a substrate and a stage configured to move while supporting the substrate. Further, the exposure apparatus includes a member including a supply port and a recovery port of the liquid that is arranged between the stage and the projection optical system such that a space is formed between the projection optical system and the member, and a supply unit configured to supply inactive gas through an outlet port into a space between the projection optical system and the member. The outlet port is directed to the space. | 04-09-2009 |
20090091716 | Lithographic apparatus and method of cleaning a lithographic apparatus - An immersion lithographic apparatus is described in which an inlet is provided to provide cleaning fluid to a space between an object, such as a substrate, positioned on a substrate table and the substrate table. | 04-09-2009 |
20090091717 | IMMERSION EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion exposure apparatus which projects a pattern of an original onto a substrate to expose the substrate, comprises a substrate stage mechanism including a substrate stage configured to hold the substrate, an immersion unit configured to supply the liquid into a space between the substrate or the substrate stage and the projection optical system, and recover the liquid from above the substrate or the substrate stage, and a control unit configured to control the immersion unit to recover the liquid from above the substrate or the substrate stage, and then control the substrate stage mechanism to move the substrate stage to a retreat position, in response to a shutoff request for requesting shutoff of electric power supply to the substrate stage mechanism. | 04-09-2009 |
20090091718 | IMAGE PROJECTION DEVICE, IMAGE PROJECTION SCREEN AND IMAGE DISPLAY SYSTEM - An image projector according to the present invention includes a first light source that emits visible light and a second light source that emits invisible light and projects the visible light and the invisible light onto a screen. The screen includes a material, at least one of the visible light reflectance, transmittance and absorbance of which changes when irradiated with the invisible light projected. The image projector further includes a modulating section for modulating the intensities of the visible light and invisible light based on an image signal and a control section for controlling the modulating section. | 04-09-2009 |
20090091719 | Exposure system and pattern formation method - An exposure system includes an exposure section provided within a chamber for irradiating a resist film formed on a wafer with exposing light through a mask with an immersion liquid provided on the resist film. It further includes a drying section for drying the surface of the resist film after the irradiation. | 04-09-2009 |
20090103061 | Reticle Protection Member, Reticle Carrying Device, Exposure Device and Method for Carrying Reticle - A position measurement device | 04-23-2009 |
20090103062 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a projection system configured to project a patterned radiation beam onto a target portion of a substrate. The projection system has a final element. The apparatus also includes a barrier member surrounding a space between the projection system and, in use, the substrate, to define in part with the final element a reservoir for liquid. The barrier member is spaced from the final element to define a gap therebetween. The apparatus further includes a deformable seal between a radially outer surface of the final element and a radially outer surface of the barrier member. The deformable seal is configured to substantially prevent a gas from flowing past the seal towards or away from the reservoir of liquid. | 04-23-2009 |
20090103063 | COOLING APPARATUS FOR OPTICAL MEMBER, BARREL, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An optical member cooling apparatus for cooling an optical member such as a mirror. The optical member cooling apparatus includes a cooling member fixed to the rear surface of the mirror by an engagement mechanisms. The rear surface of the mirror and the contact surface of the cooling mirror have a high flatness. A locking portion including a groove and an extended portion is formed in the rear surface of the mirror. A shaft of the engagement mechanism is hooked to the extended portion of the locking portion. The engagement member is urged toward the cooling member by a spring. | 04-23-2009 |
20090103064 | Maintenance method, exposure method and apparatus and device manufacturing method - There is provided a maintenance method capable of efficiently maintaining an exposure apparatus performing exposure by the liquid immersion method. The method for maintaining the exposure apparatus which exposes a substrate with an exposure light via a projection optical system and a liquid of an liquid immersion area includes: a moving step of arranging a measuring table to be opposite to a nozzle member forming the liquid immersion area; an accumulating step of supplying the liquid onto the measuring table by using the nozzle member, and accumulating the supplied liquid in a cylinder portion; and a cleaning step of jetting the liquid accumulated in the accumulating step from a jet nozzle portion to an area including at least a part of a liquid contact portion, which has a possibility of coming into contact with the liquid, during liquid immersion exposure. | 04-23-2009 |
20090109411 | Systems and Methods for Insitu Lens Cleaning Using Ozone in Immersion Lithography - An immersion lithography apparatus is provided that includes an energy source, a projection optical system, a stage, a showerhead including an immersion liquid supply device and an immersion liquid discharge device that produces a flow of liquid within an exposure zone, and a cleaning device that cleans a portion of the projection optical system having been contacted with the immersion liquid by means of a cleaning gas. In an embodiment, the cleaning device includes an ozone generation unit produces a flow of ozone into the exposure zone. In embodiments, the apparatus includes a stage that includes a dose sensor and/or an ultra-violet light source. A method for insitu cleaning of a final lens element within an immersion lithography system having an immersion fluid showerhead that provides immersion fluid to an exposure zone of the immersion lithography system is also provided. | 04-30-2009 |
20090109412 | Lithographic apparatus and device manufacturing method - A lithographic apparatus comprises an immersion fluid system and an interferometric temperature detection system. The immersion fluid system is configured to provide immersion fluid to an exposure system. The interferometric temperature detection system is configured to measure a temperature of the immersion fluid. | 04-30-2009 |
20090109413 | Maintenance method, exposure method and apparatus, and device manufacturing method - A maintenance method for performing maintenance of an exposure apparatus including a liquid immersion space-forming member which forms a liquid-immersion area by supplying liquid in a space between an optical member and a substrate; a liquid supply mechanism which supplies the liquid to the liquid-immersion space; a substrate stage which moves the substrate; and a measuring stage on which a reference mark is formed. To clean the liquid-immersion space-forming member, a cleaning liquid is supplied to a space between the measuring stage and the liquid-immersion space-forming member. The exposure apparatus is provided with various types of cleaning mechanisms for cleaning the liquid-immersion space-forming member. The liquid-immersion exposure can be performed while efficiently performing maintenance of the exposure apparatus. | 04-30-2009 |
20090109414 | LITHOGRAPHY APPARATUS, METHOD OF FORMING PATTERN AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A lithography apparatus includes a resist processing apparatus to perform a process of applying a resist on a substrate, a process of heating a resist film on the substrate, and a process of developing the resist film on the substrate, an immersion exposure apparatus including a projection optical system which projects an image of a pattern on a photomask onto the resist film and configured to perform exposure through liquid located on an optical path between the projection optical system and resist film, a trans-porting apparatus connected to the resist processing and immersion exposure apparatuses to perform transportation of the substrate between the resist processing and immersion exposure apparatuses, and a temperature/humidity control apparatus configured to control at least one of temperature and humidity in at least one of the resist processing and transporting apparatuses based on temperature and humidity or the in humidity the immersion exposure apparatus. | 04-30-2009 |
20090115977 | Exposure Apparatus, Exposure Method, and Device Manufacturing Method - An exposure apparatus includes an immersion space forming member ( | 05-07-2009 |
20090115978 | METHOD FOR TREATING SUBSTRATE, METHOD FOR CONVEYING SUBSTRATE, AND APPARATUS FOR CONVEYING SUBSTRATE - A method for treating a substrate before exposing the substrate to which a resist is applied, includes, rinsing the substrate to which a resist is applied, and holding the rinsed substrate in an atmosphere. The atmosphere substantially contains no moisture until conveying the substrate to an exposure apparatus. | 05-07-2009 |
20090115979 | Immersion lithography apparatus - A sampler, sample holder and an immersion lithographic apparatus comprising a sampler is disclosed. In an embodiment, a sampler is provided to collect particles in an immersion system of a lithographic apparatus. The sampler comprises a holder base having a collector surface. The collector surface is configured to collect and store contaminants. The sampler may be located on a surface of the immersion system so as to collect sample particles by contact of the collector surface with a liquid or with a surface of the immersion system. The sampler may be removable from the immersion lithographic apparatus for inspection. | 05-07-2009 |
20090115980 | Illumination system and filter system - A lithographic apparatus includes an illumination system configured to condition a radiation beam, a projection system configured to project the radiation beam onto a substrate, and a filter system for filtering debris particles out of the radiation beam. The filter system includes a plurality of foils for trapping the debris particles, a support for holding the plurality of foils, and a cooling system having a surface that is arranged to be cooled. The cooling system and the support are positioned with respect to each other such that a gap is formed between the surface of the cooling system and the support. The cooling system is further arranged to inject gas into the gap. | 05-07-2009 |
20090122282 | Exposure apparatus, liquid immersion system, exposing method, and device fabricating method - An exposure apparatus exposes a substrate with exposure light through a liquid. The exposure apparatus comprises: a first surface, which is disposed around an optical path of the exposure light; a second surface, which is disposed adjacent to an outer edge of the first surface, that includes a first area, which is inclined with respect to the first surface; and a liquid recovery surface, which is disposed at an outer side of the second surface with respect to the optical path of the exposure light; wherein, when an object is disposed at a position at which it opposes at least part of the first surface and at least part of the liquid recovery surface, a spacing between the second surface and the object is larger than a spacing between the first surface and the object, and a spacing between the object and at least part of the liquid recovery surface is larger than the spacing between the first surface and the object in a prescribed direction that is substantially perpendicular to a front surface of the object. | 05-14-2009 |
20090128787 | SUBSTRATE PROCESSING APPARATUS - A substrate processing apparatus enables an efficient collection of a solvent vapor discharged via a nozzle onto a wafer on which a resist pattern is formed. A retaining base that retains the wafer is moved relative to the nozzle, which includes a nozzle head. A pair of leakage preventing portions are disposed opposite to each other across the nozzle head. Each of the leakage preventing portions has an opening via which the solvent vapor discharged out of the discharge opening can be sucked, or a solvent vapor blocking gas can be discharged selectively. A solvent vapor supply source and a gas supply source are switchably connected to the supply opening of the nozzle head via a first switching valve. An exhaust pump and a solvent-vapor-blocking gas supply source are switchably connected to the openings of the leakage preventing portions via a second switching valve. | 05-21-2009 |
20090135382 | Exposure method, exposure apparatus, and method for producing device - An exposure method includes a first step filling a liquid in a predetermined optical path space for exposure light (EL) in an optical system (PL), or for exchanging the liquid (LQ) filled in the space; a second step for successively exposing a predetermined number of substrates (P) through the liquid (LQ) filled in the optical path space or through the exchanged liquid; and a third step for judging, after the completion of the second step and based on an elapsed time elapsed after the first step, whether or not to exchange the liquid (LQ) filled in the optical path space. Exposure processing and measurement processing can be satisfactorily performed by making the liquid filled in the optical path space held in a desired state. | 05-28-2009 |
20090135383 | EXPOSURE APPARATUS - An exposure apparatus including a moving member movable with a substrate, an interferometer configured to measure a position of the moving member, a blower device for blowing temperature-conditioned air, a plurality of supply openings communicating with the blower device, and a flow rate adjusting device configured to adjust a gas flow rate blown through the plurality of supply openings based on an operation of the moving member. | 05-28-2009 |
20090135384 | SUBSTRATE PROCESSING APPARATUS - A substrate processing apparatus includes a substrate holding stage to hold a substrate having a surface facing up, the substrate having an exposed and developed resist pattern over the surface, a rotation driving mechanism to rotate the substrate holding stage around a vertical axis, a solvent vapor discharge nozzle having a discharge hole capable of discharging solvent vapor to swell the resist pattern onto the surface of the substrate and a vacuum opening capable of absorbing the solvent vapor discharged from the discharge hole, and a moving mechanism to move the solvent vapor discharge nozzle from an edge to a center of the substrate. The substrate is rotated around the vertical axis while moving the solvent vapor discharge nozzle from the edge to the center of the substrate, discharging the solvent vapor from the discharge hole, to supply the solvent vapor over the substrate in a spiral manner. | 05-28-2009 |
20090135385 | OPTICAL IMAGING DEVICE WITH THERMAL ATTENUATION - An optical imaging device, in particular for use in microlithography, includes a mask device for receiving a mask having a projection pattern, a projection device with an optical element group, a substrate device for receiving a substrate and an immersion zone. The optical element group is adapted to project the projection pattern onto the substrate and includes a plurality of optical elements with an immersion element to which the substrate is at least temporarily located adjacent to during operation. During operation, the immersion zone is located between the immersion element and the substrate and is at least temporarily filled with an immersion medium. A thermal attenuation device is provided, the thermal attenuation device being adapted to reduce fluctuations within the temperature distribution of the immersion element induced by the immersion medium. | 05-28-2009 |
20090135386 | Illumination optical apparatus, exposure apparatus, and method for producing device - An illumination optical apparatus which illuminates an illumination objective surface with an exposure light includes: an illumination optical system having a curved mirror and a concave mirror and defining a position substantially conjugate with the illumination objective surface between the curved and concave mirrors; and a second aperture plate separating a space in which the curved mirror is arranged and a space in which the concave mirror is arranged into mutually different vacuum environments or pressure-reduced environments, and having an aperture through which the exposure light passes, the aperture being arranged at a position at which a cross-sectional area of the exposure light is smallest, or in the vicinity of the position. It is possible to decrease the amount of passage of minute particles such as debris in relation to any downstream-side optical system. | 05-28-2009 |
20090153813 | Exposure Method, Exposure Apparatus and Method for Fabricating Device - An exposure condition is determined in accordance with a moving condition of a substrate (P) relative to a projection optical system so that a pattern image is projected on the substrate (P) in a desired projection state, and the substrate (P) is exposed in the determined exposure condition. | 06-18-2009 |
20090153814 | SELF-CLEANING SCAN HEAD ASSEMBLY - A scan head assembly for use in an imaging device for scanning media that uses fluid particles from the atmosphere for cooling an optical system, cleaning existing contamination particles in the scan head assembly and preventing contamination particles from accruing in the scan head assembly. The scan head assembly is enclosed in a chamber housing having an inlet in fluid communication with the scan head assembly and atmosphere. The scan head assembly has an optical system and a cooling mechanism located adjacent to the inlet. During use, the cooling mechanism directs fluid particles from the atmosphere through the inlet across the scan head assembly to provide cooling and cleaning to the scan head assembly, including the optical system. A negative pressure system is created within the scan head assembly to prevent contamination particles from accruing in the optical system. | 06-18-2009 |
20090153815 | Exposure apparatus, exposure method, method for manufacturing device - An exposure apparatus that: projects pattern images onto a substrate via liquid and a projection optical system, the liquid forming a liquid immersion region between the projection optical system and the substrate; and exposes the substrate. The apparatus has: a liquid-supplying-section that supplies the liquid onto the substrate; a first pipe section that introduces the liquid to the liquid-supplying-section; and a second pipe section, connected to the first pipe section, that collects the liquid not being supplied to the liquid-supplying-section from the first pipe section. By doing this, it is possible to provide a liquid-immersion exposure apparatus having a liquid-supplying-mechanism, exposure method, and a method for manufacturing devices so as to: restrict the temperature of the liquid, supplied between the projection optical system and the substrate, from varying; and prevent contaminants from invading into the liquid. | 06-18-2009 |
20090161083 | Lithographic apparatus and device manufacturing method - The use of electro wetting to control the behavior of immersion liquid within an immersion lithographic apparatus is disclosed. | 06-25-2009 |
20090161084 | Cleanup method for optics in immersion lithography - A lithographic apparatus includes a substrate table on which a substrate is held, a projection system including a final optical element, the projection system projecting a patterned beam of radiation through an immersion liquid onto the substrate adjacent the final optical element to expose the substrate during an immersion lithography process, and a liquid supply system including an inlet. The liquid supply system supplies the immersion liquid during the immersion lithography process and supplies a cleaning liquid, which is different from the immersion liquid, during a cleanup process. The cleanup process and the immersion lithography process are performed at different times. | 06-25-2009 |
20090168032 | Lithographic apparatus and device manufacturing method - The use of electro wetting to control the behavior of immersion liquid within an immersion lithographic apparatus is disclosed. | 07-02-2009 |
20090168033 | MANUFACTURING METHOD OF PATTERN FORMED BODY AND PATTERN FORMED BODY MANUFACTURING APPARATUS - A main object of the invention is to provide a manufacturing method of a plurality of pattern formed bodies which makes it possible that even if the pattern formed bodies are continuously manufactured, their property varied patterns are each made into a target pattern form with high precision; and a pattern formed body manufacturing apparatus used in the manufacturing method. To achieve the object, the invention provides a manufacturing method of a plurality of pattern formed bodies comprising a pattern forming step and a foreign matter removing step, wherein the pattern forming step is a step of radiating vacuum-ultraviolet light through a photomask to a pattern forming substrate, varying a surface property by the vacuum-ultraviolet light, and forming a property varied pattern with the property varied on a surface of the pattern forming substrate to form a pattern formed body; the pattern forming step is repeated plural times to manufacture a plurality of the pattern formed bodies; and the foreign matter removing step is a step of removing a foreign matter deposited to the photomask performed between the repeated pattern forming steps. | 07-02-2009 |
20090174870 | Cleaning apparatus and immersion lithographic apparatus - A cleaning apparatus to clean a substrate or component of an immersion lithographic apparatus is disclosed. The cleaning apparatus may comprise a plasma radical source, a conduit and a radical confinement system. The plasma radical source may provide a flow of radicals. The conduit may supply radicals from the plasma radical source to the surface to be cleaned. The radical confinement system may direct the radicals to clean a localized portion of the surface. The cleaning apparatus may comprise a rotator and may be configured to clean a substrate edge. An immersion lithographic apparatus comprising the cleaning apparatus to clean a surface is also disclosed. The immersion lithographic apparatus may comprise a substrate table to support a substrate and a fluid confinement structure to at least partly confine immersion fluid between a projection system and a substrate table and/or substrate. | 07-09-2009 |
20090174871 | Lithographic apparatus and method of cleaning a surface of an immersion lithographic apparatus - A method of cleaning a lithography apparatus using an aerosol spray is described. The spray from the aerosol is contained in a space. | 07-09-2009 |
20090174872 | Cleanup method for optics in immersion lithography - An immersion lithography apparatus and cleanup method used for the immersion lithography apparatus in which an immersion liquid is supplied to a gap between an optical element of a projection optics and a workpiece during an immersion lithography process. A surface of an object, which is different from the workpiece, is provided below the optical element, a supply port and a recovery port. During a cleanup process, a cleaning liquid is supplied onto the object such that the cleaning liquid covers only a portion of the surface of the object. | 07-09-2009 |
20090185149 | IMMERSION LITHOGRAPHIC APPARATUS WITH IMMERSION FLUID RE-CIRCULATING SYSTEM - A lithographic apparatus includes a projection system, a fluid handling structure, a metrology device, and a recycling control device. The projection system is configured to project a patterned radiation beam onto a target portion of a substrate, the substrate being supported on a substrate table. The fluid handling structure is configured to provide an immersion fluid to a space between the projection system and the substrate and/or substrate table. The metrology device is configured to monitor a parameter of the immersion fluid. The recycling control device regulates a routing of the immersion fluid either to be reused by the fluid handling structure or to be reconditioned based on the quality of immersion fluid indicated by the metrology device. | 07-23-2009 |
20090185150 | IMMERSION EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion exposure apparatus which includes an original stage which moves with holding an original; a substrate stage which includes a liquid supporting plate which has a liquid repellent surface and is located around a substrate holding region which holds a substrate; and a projection optical system, and scan-exposes the substrate while a gap between the substrate and the projection optical system is filled with a liquid, the apparatus comprising a movable blind configured to move in a direction different from a scanning direction of the scanning exposure and limit an irradiated region of exposure light, and a controller configured to control the movement of the movable blind in synchronism with the scanning exposure so as to reduce an amount of the exposure light which the liquid supporting plate. | 07-23-2009 |
20090190105 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Contaminants may build up on surfaces of an immersion lithographic apparatus that come into contact with an immersion liquid from during exposure of a substrate. A solution containing a cleaning agent may be used to clean such surfaces. The cleaning properties of such a cleaning solution may be improved by activating it with ultraviolet radiation. This means that a solution of a lower concentration may be used, thereby reducing the risk of damaging the surfaces to which the cleaning solution is provided. An embodiment of the present invention allows ultraviolet radiation to be provided to surfaces being cleaned without having to take the apparatus off-line. In an embodiment, a guide member is used to transfer ultraviolet radiation from a remote radiation source to an outlet located at a position where it is desired for a cleaning operation. | 07-30-2009 |
20090190106 | IMMERSION LITHOGRAPHY APPARATUS - An immersion lithographic apparatus is disclosed having a projection system, a liquid supply system, and a recycling system. The projection system is configured to project a patterned radiation beam onto a target portion of a substrate, wherein a substrate table is configured to support the substrate. The liquid supply system is configured to provide an immersion liquid to a space between the projection system and the substrate or the substrate table. The recycling system is configured to collect the immersion liquid from the liquid supply system and to supply the immersion liquid to the liquid supply system. The recycling system includes a fiber configured to remove organic contaminants from the immersion liquid. | 07-30-2009 |
20090195761 | Lithographic apparatus and in-line cleaning apparatus - An immersion type lithographic apparatus includes an immersion system configured to at least partially fill an immersion space with an immersion liquid. The apparatus also includes an indicator configured to indicate whether a part of the immersion system should be cleaned and a cleaning liquid supply system configured to supply a cleaner to the part of the lithographic apparatus. The cleaner is at least one of a plurality of different cleaners. Each cleaner or combination of cleaners is configured to clean a different type and/or level of contamination in the part of the lithographic apparatus. The apparatus also includes a controller configured to control which of the plurality of cleaners is provided to the part of the lithographic apparatus, based on an indication received from the indicator. | 08-06-2009 |
20090195762 | Cleanup method for optics in immersion lithography - An immersion lithography apparatus and a cleanup method used for the immersion lithography apparatus in which an immersion liquid is supplied from a liquid supply member to a gap between an optical element of a projection optics and a workpiece during an immersion lithography process. A surface of an object, which is different from the workpiece, is provided such that the surface of the object and the optical element are opposite to each other. During a cleanup process, a cleaning liquid is supplied from the liquid supply member onto the surface of the object. | 08-06-2009 |
20090201471 | VACUUM SYSTEM FOR IMMERSION PHOTOLITHOGRAPHY - A vacuum system for extracting a stream of a multi-phase fluid from a photo-lithography tool comprises a pumping arrangement for drawing the fluid from the tool, and an extraction tank located upstream from the pumping arrangement for separating the fluid drawn from the tool into gas and liquid phases. The pumping arrangement comprises a first pump for extracting gas from the tank, and a second pump for extracting liquid from the tank. In order to minimize any pressure fluctuations transmitted from the vacuum system back to the fluid within the tool, a pressure control system maintains a substantially constant pressure in the tank by regulating the amounts of liquid and gas within the tank. | 08-13-2009 |
20090201472 | LIQUID IMMERSION EXPOSURE APPARATUS AND METHOD OF LIQUID IMMERSION EXPOSURE - A liquid immersion exposure apparatus has a stage on which a substrate to be processed is disposed and that moves based on a position control signal, a projection unit that projects a beam onto the substrate to be processed, a liquid supply unit that supplies liquid between the substrate to be processed and the projection unit, a liquid discharge unit that discharges the liquid held between the substrate to be processed and the projection unit, a gas ejection unit includes a first ejection unit and a second ejection unit disposed so as to surround at least a part of the projection unit and each ejecting gas onto the substrate to be processed, and a control unit that controls an amount of gas flow at the first ejection unit and an amount of the gas flow at the second ejection unit based on a moving speed of the stage while the stage is being moved. | 08-13-2009 |
20090207391 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus | 08-20-2009 |
20090207392 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is configured to transfer a pattern from a patterning structure, held by a patterning structure holder, onto a substrate that is held by a substrate holder. The apparatus includes a first object holder configured to hold an object, and an object temperature conditioner configured to condition a temperature of the object prior to and/or during transfer of the object to the first object holder. The object temperature conditioner includes a second object holder having a fluid duct system and an electrical temperature conditioner. | 08-20-2009 |
20090213342 | PROJECTION EXPOSURE APPARATUS FOR MICROLITHOGRAPHY - The invention relates to a projection exposure apparatus with a projection objective that serves to project a structure onto a substrate coated with a light-sensitive resist, wherein an immersion liquid is arranged between an optical element of the projection objective and the resist-coated substrate. As an immersion liquid saturated cyclic or polycyclic hydrocarbons can be used, such as for example cyclo-alkanes comprising up to 12 carbon atoms, saturated polycyclic hydrocarbons with 2 to 6 rings, bridged polycyclic hydrocarbons, cyclic ethers and derivatives of these substances. | 08-27-2009 |
20090213343 | RE-FLOW AND BUFFER SYSTEM FOR IMMERSION LITHOGRAPHY - A system is disclosed to isolate an environmental chamber of an immersion lithographic apparatus, to which an immersion fluid comprising liquid, is provided from an external environment. Further, there is disclosed a system for measuring flow rate and/or vapor concentration of a gas using a transducer to send and/or receive an acoustic signal. | 08-27-2009 |
20090213344 | Lithographic Apparatus with Temperature Sensor and Device Manufacturing Method - In an embodiment, a lithographic apparatus is arranged to transfer a pattern from a patterning device onto a substrate, wherein the lithographic apparatus includes an air shower and a temperature sensor positioned near the air shower for measuring the temperature of an air stream in the air shower. The temperature sensor is a thermocouple sensor, e.g., of a thermopile arrangement type. The thermocouple sensor includes a plurality of thermocouples in series, wherein a cold junction and a hot junction are provided, the cold junction being connected to a heat sink, and the hot junction being positioned into the air stream of the air shower. | 08-27-2009 |
20090231559 | Lithographic projection apparatus, gas purging method, device manufacturing method and purge gas supply system - A lithographic projection apparatus includes a support configured to support a patterning device, the patterning device configured to pattern a projection beam according to a desired pattern. The apparatus has a substrate table configured to hold a substrate, a projection system configured to project the patterned beam onto a target portion of the substrate. The apparatus also has a purge pas supply system configured to provide a purge gas near a surface of a component of the lithographic projection apparatus. The purge gas supply system includes a purge gas mixture generator configured to generate a purge gas mixture which includes at least one purging gas and moisture. The purge gas mixture generator has a moisturizer configured to add the moisture to the purge gas and a purge gas mixture outlet connected to the purge gas mixture generator configured to supply the purge gas mixture near the surface. | 09-17-2009 |
20090231560 | Immersion lithography apparatus and method having movable liquid diverter between immersion liquid confinement member and substrate - An immersion lithography apparatus includes a projection system having a final optical element and a stage that is movable to a position below the projection system such that a gap exists between the final optical element and a surface of the stage. An immersion liquid fills the gap between the surface and the final optical element. A liquid confinement member maintains the immersion liquid in the gap. The immersion liquid has a meniscus where the liquid contacts ambient gas, the meniscus defining a footprint of an immersion area. A movable liquid diverter is positioned between the liquid confinement member and the stage. The movable liquid diverter moves relative to the liquid confinement member in a direction parallel to the surface of the stage, and includes an opening that surrounds the immersion area, the opening contacting or being slightly spaced from the immersion area when the stage is stationary. | 09-17-2009 |
20090237631 | Apparatus and methods for recovering fluid in immersion lithography - An immersion lithography apparatus includes a projection system having a final optical element, a movable stage that is movable below the projection system such that a gap exists between the final optical element and a surface of the stage, an immersion liquid being filled in the gap, a liquid confinement member and a liquid diverter. The liquid confinement member maintains the immersion liquid in the gap, and includes a liquid recovery portion that faces the stage surface and recovers liquid from the gap. The liquid recovery portion includes a first porous portion through which a first suction force is applied and a second porous portion through which a second suction force less than the first suction force is applied, the second portion being located outward of the first portion. The liquid diverter is positioned between the stage and at least the first porous portion. | 09-24-2009 |
20090237632 | IMMERSION LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is described in which a droplet removal device removes droplets from the substrate, e.g. during exposures, using an angled flow of gas from a gas knife. | 09-24-2009 |
20090244501 | APPARATUS FOR REAL-TIME CONTAMINATION, ENVIRONMENTAL, OR PHYSICAL MONITORING OF A PHOTOMASK - An apparatus for real-time contamination, environmental, or physical monitoring of a photomask. The apparatus includes a photomask having a patterned region configured to correspond to features of an integrated circuit and a sensor physically coupled with the photomask. The sensor is configured to monitor an attribute related to the photomask. Attributes monitored by the sensor may include chemical contamination, temperature changes, humidity changes, acceleration, shock, vibration, optical flux through the photomask, electrostatic discharge environment of the photomask, particulates, and pressure. | 10-01-2009 |
20090244502 | Methods of Compensating Lens Heating, Lithographic Projection System and Photo Mask - Embodiments relate to compensating for lens heating, lithographic projection system and photo mask. Accordingly, lens heating is compensated by providing a layout pattern including a regular pattern being arranged substantially symmetrical in a first region and a sub-resolution pattern including a plurality of sub-resolution structural elements, wherein the sub-resolution pattern in a second region, so as to minimize non-homogenous lens heating of a projection apparatus in case of a lithographic projection. | 10-01-2009 |
20090244503 | Exposure apparatus, device manufacturing method, maintenance method, and exposure method - An exposure apparatus can prevent disadvantages of supplying liquid of reduced cleanliness and formation of watermarks. The exposure apparatus exposes a substrate by irradiating exposure light onto the substrate via a projection optical system and a liquid, and includes a liquid supply mechanism for supplying the liquid, and a measuring device which measures a time during which the supply of the liquid from the liquid supply mechanism is stopped. | 10-01-2009 |
20090251672 | Exposure apparatus, device production method, cleaning apparatus, cleaning method, and exposure method - An exposure apparatus exposes a substrate with an exposure light through an exposure liquid. The exposure apparatus includes an optical element from which the exposure light exits; a stage which is movable on the light-exit side of the optical element; a certain member which is provided on the stage; and a vibration generator which vibrates the certain member to apply vibration to the liquid in the liquid immersion space formed on the certain member. It is possible to suppress the deterioration of the performance which would be otherwise caused by any contamination. | 10-08-2009 |
20090251673 | TRANSMITTING OPTICAL ELEMENT WITH LOW FOREIGN-ELEMENT CONTAMINATION - A transmitting optical element of polycrystalline material that includes crystallites of magnesium spinel MgAl | 10-08-2009 |
20090257032 | OPTICAL ELEMENT AND METHOD - The disclosure relates to an optical element configure to at least partial spatially resolve correction of a wavefront aberration of an optical system (e.g., a projection exposure apparatus for microlithography) to which optical radiation can be applied, as well as related systems and methods. | 10-15-2009 |
20090262316 | EXPOSURE APPARATUS AND METHOD FOR PRODUCING DEVICE - An exposure apparatus EX includes a recovery port which recovers a liquid, a blow port which is provided outside the recovery port with respect to an optical path space and which blows a gas therefrom, and a gas discharge port which is provided between the recovery port and blow port and which discharges at least a part of the gas blown from the blow port. An exposure apparatus which makes it possible to avoid the leakage of the liquid with which the optical path space of the exposure light between a projection optical system and a substrate is filled is provided. | 10-22-2009 |
20090262317 | TEST METHOD FOR DETERMINING RETICLE TRANSMISSION STABILITY - Methods, systems and apparatus for monitoring the state of a reticle by providing a reticle having a device exposure region in an imaging tool, defining one or more image fields across the device exposure region, and transmitting energy through the device exposure region. A detector detects the energy in the image field(s) at one or more testing intervals and a system control generates a transmission profile of average energy transmissions for each image field. Using this transmission profile, the state of the reticle is then determined at each testing interval followed by taking action based on the reticle state. The state of the reticle identifies whether the device exposure region has been deleteriously degraded, and as such, the reticle is no longer suitable for use. This is accomplished by determining if any average energy transmission of any image field across the reticle exceeds an allowable energy transmission threshold. | 10-22-2009 |
20090262318 | LITHOGRAPHIC APPARATUS - An immersion lithographic apparatus is disclosed that includes a fluid supply system configured to supply a fluid, the fluid supply system having a chamber with a plurality of inlet holes in a first side wall and a plurality of outlet holes in a second side wall, the first side wall facing the second side wall, wherein the inlet holes direct fluid entering the chamber in a direction towards areas of the second side wall between the plurality of outlet holes. | 10-22-2009 |
20090268173 | METHOD FOR MEASURING LIQUID IMMERSION LITHOGRAPHY SOLUBLE FRACTION IN ORGANIC FILM - A method for measuring a liquid immersion lithography soluble fraction in an organic film including a mounting step of mounting a droplet of a liquid immersion medium for liquid immersion lithography on a surface of an organic film formed on a substrate and a transfer step of transferring a component in the organic film into the droplet. | 10-29-2009 |
20090268174 | Exposure apparatus, exposure method, cleaning apparatus, and device manufacturing method - An exposure apparatus exposes a substrate with exposure light via a liquid. The exposure apparatus includes: an optical member which has an emission surface from which the exposure light is emitted; a movable object which is able to move in a predetermined surface including a position facing the emission surface; and a predetermined component which is able to move between, the optical member and the movable object and which is able to form a space between which and the optical member a liquid is held. | 10-29-2009 |
20090268175 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus that exposes a substrate through liquid includes a projection optical system that projects a pattern of an original onto the substrate; a supply port that supplies the liquid; a recovery port that recovers the liquid; and a plurality of pressure resistance portions arranged at a passage of at least one of the supply port and the recovery port, to apply pressure resistances to the liquid passing through the passage. The pressure resistance portions surround a final surface of the projection optical system and are distant from each other in a direction in which the liquid flows through the passage. One the plurality of pressure resistance portions, which is nearest to the substrate, faces the substrate. | 10-29-2009 |
20090268176 | IMMERSION EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion exposure apparatus including an optical element | 10-29-2009 |
20090273765 | IMMERSION FLOW FIELD MAINTENANCE SYSTEM FOR AN IMMERSION LITHOGRAPHY MACHINE - The present invention provides an immersion flow field maintenance system for an immersion lithography machine, the lithography machine including a projection objective lens, at least a wafer stage for supporting the wafer, and an immersion supplying system distributed around the projection objective lens for producing an immersion flow field under the projection objective lens. The immersion maintenance system includes a horizontal guideway, a flat board connected with the horizontal guideway through the cantilever, and plural drivers for driving the flat board to move. When the wafer is unloading and the wafer stage is moving out of the exposure area under the projection objective lens, the flat board connects to and moves synchronously with the wafer stage to transfer the immersion flow field from above the wafer stage to above the flat board. When the wafer is loaded and the wafer stage is moving into the exposure area, the flat board connects to and moves synchronously with the wafer stage to transfer the immersion flow field from above the flat board to above the wafer stage. The system of the present invention eliminates the removing and establishing processes of the flow field when the wafer stage leaves and enters the exposal position, thus saving much time and improving the throughput of the lithography machine. | 11-05-2009 |
20090273766 | APPARATUS AND METHOD FOR EXPOSURE AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus includes a projection optical system that projects light from an original to a substrate, and a supply device that supplies liquid containing a hydrocarbon compound to a gap between the final surface of the projection optical system and the substrate. The exposure apparatus exposes the substrate to light via the liquid filling the gap. The exposure apparatus further includes an adding device that adds water to the liquid to be supplied by the supply device. | 11-05-2009 |
20090279058 | EXPOSURE APPARATUS - An exposure apparatus for immersing, in liquid, a space between a final lens of a projection optical system and a plate, and for exposing the plate via the liquid includes a leak reducer for reducing or preventing a leak of the liquid from an area in which the liquid is to be filled between the final lens of the projection optical system and the plate, and a pressure maintainer provided to the leak reducer or provided closer to an optical axis of the projection optical system than the leak reducer, the pressure maintainer restraining a pressure fluctuation of gas in or near the area, wherein both a liquid recovery port for recovering the liquid from the area and a liquid supply port for supplying the liquid to the area are closer to the optical axis of the projection optical system than the leak reducer and the pressure maintainer. | 11-12-2009 |
20090279059 | Exposure apparatus adjusting method, exposure apparatus, and device fabricating method - An adjusting method that adjusts an immersion exposure apparatus that comprises a first holder, which holds a substrate, and a second holder, which holds the substrate before the substrate is held by the first holder, and that exposes the substrate, which is held by the first holder, through a liquid. The adjusting method comprises: holding a thermometer with the first holder; holding the thermometer with the second holder; and adjusting the temperature of at least one of the first holder and the second holder based on the detection result of the thermometer held by the first holder and the detection result of the thermometer held by the second holder. | 11-12-2009 |
20090279060 | FLUID HANDLING STRUCTURE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A fluid handling structure is disclosed in which measures are taken to increase the speed at which meniscus breakdown occurs. Measures include the shape of a plurality of fluid extraction openings and the shape and density of a plurality of fluid supply openings in the fluid handling structure. | 11-12-2009 |
20090279061 | LITHOGRAPHIC APPARATUS AND METHOD - A substrate table is disclosed in which heaters are provided to account for a heat load which may be applied to the substrate. The heaters are grouped in segments to improve control. A temperature sensor per segment may be provided. The temperature sensor may be embedded in the substrate table. | 11-12-2009 |
20090279062 | FLUID HANDLING STRUCTURE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A fluid handling structure is disclosed in which the size and arrangement of the fluid extraction openings is specified in order to reduce the vibrations which are transmitted to the fluid handling structure as a result of two-phase extraction. The area of each fluid extraction opening and/or the total area of all of the fluid extraction openings and/or the space in between neighboring fluid extraction openings may be controlled. The reduction in vibrations increases the accuracy of the exposure. | 11-12-2009 |
20090279063 | IMMERSION LITHOGRAPHIC APPARATUS, DRYING DEVICE, IMMERSION METROLOGY APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is described in which a liquid removal device is arranged to remove liquid from the substrate, e.g. during exposures, through a plurality of elongate slots arranged along a line and angled to that line. The liquid removal device may act as a meniscus pinning device in an immersion hood or may be used in a drying device to remove a droplet from the substrate. | 11-12-2009 |
20090279064 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an immersion lithographic apparatus, a closing plate is used to contain liquid in a liquid confinement structure while, for example, substrates are swapped on a substrate table. A closing plate displacement mechanism using, for example, a combination of one or more leaf springs and one or more electromagnets or a combination of one or more linear actuators and one more pins, is used to move the closing plate toward or from the liquid confinement structure. In an embodiment, an adjustment plate is used to compensate for closing plates of varying thickness in closing plate receptacles of varying depth on different substrate tables. | 11-12-2009 |
20090284715 | LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS - A lithographic projection apparatus is disclosed which includes a cleaning station. Several embodiments of the cleaning station are disclosed. In an embodiment, measures are taken to avoid contact of a cleaning fluid with the final element of the projection system. In an embodiment, measures are taken to avoid foaming of the cleaning fluid. The use of a thermally isolated island is also disclosed as well as its optimal position. | 11-19-2009 |
20090284716 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - In an exposure apparatus of a liquid immersion exposure method, there is a case when a wafer table holding a wafer moves, a liquid immersion area formed by liquid supplied in a space between a wafer table and a projection optical system passes over a head mounted on the wafer table. Therefore, for a head over which the liquid immersion area has passed, the residual presence of the liquid is detected based on an amount of light of a reflected light received by the light receiving element which receives the reflected light from the wafer table surface. And, of a plurality of heads, positional information of the wafer table is measured, based on measurement values of a head that had no liquid remaining in the detection. | 11-19-2009 |
20090284717 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - In an exposure apparatus of a liquid immersion exposure method, a liquid immersion area is formed on the upper surface of a wafer by liquid supplied in a space formed with a projection optical system, and on a moving table holding the wafer, a plurality of encoder heads is placed. Of the plurality of encoder heads, a controller measures positional information of the moving table within an XY plane using an encoder head which is outside a liquid immersion area. This allows a highly precise and stable measurement of positional information of the moving table. | 11-19-2009 |
20090284718 | Liquid Immersion Optical Tool, Method for Cleaning Liquid Immersion Optical Tool, Liquid Immersion Exposure Method and Method for Manufacturing Semiconductor Device - There is disclosed is a liquid immersion optical tool, which comprises a light source, an optical lens system, a stage which moves an object base on which an object is to be placed, a head comprising a liquid immersion medium fluid supply device and a liquid immersion medium fluid discharge device to provide a layer of liquid immersion medium fluid between the optical lens system and the object, a fence which limits a region of the layer of liquid immersion medium fluid, and a cleaning device which cleans a portion having been contacted with the liquid immersion medium fluid by means of a cleaning solution. | 11-19-2009 |
20090290134 | EXPOSURE METHOD - A method for exposure is provided to avoid a rise in temperature of a lens set. First, a light beam passes through a first light-receiving region of the lens set to expose a pattern on a substrate, and the first light-receiving region has a rise in temperature. Thereafter, the first light-receiving region is moved away. Afterwards, the light beam passes through a second light-receiving region of the lens set so that the first light-receiving region has a drop in temperature. | 11-26-2009 |
20090296052 | LITHOGRAPHIC APPARATUS AND METHODS - A system for cleaning a limited area of a top surface of a substrate table or an object positioned on a top surface of a substrate table is disclosed. The optical system used during normal imaging is adjusted to limit the cross-sectional area of a radiation beam to form a cleaning radiation beam which impinges on the limited area. | 12-03-2009 |
20090296053 | Apparatus and method for providing fluid for immersion lithography - A lithographic projection apparatus projects a pattern from a patterning device onto a substrate using a projection system. The apparatus has a liquid supply system to supply a liquid to a space between the projection system and the substrate. The apparatus also has a fluid removal system including a chamber to hold liquid and having an open end adjacent to a volume in which fluid will be present. The open end removes, through a pressure differential across-the open end when liquid is present in the chamber, substantially only liquid from the volume when liquid in the volume is adjacent to the open end but not gas from the volume when gas in the volume is adjacent to the open end. | 12-03-2009 |
20090296054 | IMMERSION LITHOGRAPHY METHOD - An immersion lithography method includes preparing an exposure tool having an exposure stage, a projection lens having an immersion head movable on the stage and used to form an immersion region and an illumination light source provided on the projection lens via a mask, placing a to-be-exposed substrate on the stage, supplying a liquid by use of the immersion head and forming the immersion region disposed between a surface portion of the substrate and a lower end portion of the projection lens, and relatively moving the stage and projection lens while holding the immersion region and exposing a region of the substrate covered with the immersion region. A first distance between the projection lens and the substrate is kept unchanged and a second distance between the immersion head and substrate is changed according to an exposure sequence. | 12-03-2009 |
20090296055 | LENS HEATING COMPENSATION SYSTEMS AND METHODS - Methods for calibrating a photolithographic system are disclosed. A cold lens contour for a reticle design and at least one hot lens contour for the reticle design are generated from which a process window is defined. Aberrations induced by a lens manipulator are characterized in a manipulator model and the process window is optimized using the manipulator model. Aberrations are characterized by identifying variations in critical dimensions caused by lens manipulation for a plurality of manipulator settings and by modeling behavior of the manipulator as a relationship between manipulator settings and aberrations. The process window may be optimized by minimizing a cost function for a set of critical locations. | 12-03-2009 |
20090296056 | SUBSTRATE TABLE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A table is disclosed in which measures are taken to seal between the table and an edge of an object, in use, supported on the table. In particular, a capillary passage is formed between the object on the table and the table itself. A meniscus pinning feature and/or the presence of an overpressure at the radially inward side of the capillary passage holds the liquid in the passage and helps prevent it from advancing further radially inwardly. The features to perform this function may be associated with or formed in a member surrounding the object. The member may be thermally decoupled from a part of the table. | 12-03-2009 |
20090303450 | Particle Detection on Patterning Devices with Arbitrary Patterns - A detection system for detecting particle contamination in a lithographic apparatus includes an illumination system that directs a radiation beam onto a section of a surface of a patterning device to generate at least first and second components of patterned radiation. A first detector is configured to detect the first component. A filter is configured to adaptively change the second component based on the detected first component, and a second detector is configured to detect the filtered second component. An imaging device generates an image corresponding to the detected second filtered component, and the image indicates an approximate location of a particle on the surface of the patterning device. | 12-10-2009 |
20090316119 | Apparatus and method for conditioning an immersion fluid - The present invention includes apparatus and methods for producing a conditioned immersion fluid for use in an immersion lithography process. The conditioned immersion fluid protects the immersion system lens and reduces or eliminates deposition of contaminants onto the lens that can adversely affect the lens transmission and durability of an immersion lithography system. | 12-24-2009 |
20090316120 | Exposure apparatus, cleaning method, and device fabricating method - An exposure apparatus exposes a substrate with exposure light that passes through an exposure liquid. The exposure apparatus comprises: an optical member, which has an emergent surface wherefrom the exposure light emerges; a first supply port, which supplies the exposure liquid; a liquid immersion member, which is capable of forming an immersion space so that an optical path of the exposure light that emerges from the optical member is filled with the exposure liquid; a second supply port, which supplies a cleaning liquid so that it contacts the liquid immersion member; and a preventive apparatus, which prevents the cleaning liquid and the optical member from contacting one another. | 12-24-2009 |
20090316121 | LITHOGRAPHIC APPARATUS AND METHOD - A lithographic apparatus of the immersion type is disclosed. In the apparatus, a plurality of heating and/or cooling devices are provided in the vicinity of the final element of the projection system, e.g. in a barrier member of a liquid handling system. The heating and/or cooling devices can be used, for example, to control temperature gradients in the final element of the projection system to control aberrations therein. | 12-24-2009 |
20090316122 | LITHOGRAPHIC APPARATUS - A substrate stage for an immersion type lithographic apparatus is arranged to project a patterned radiation beam from a patterning device onto a substrate, the substrate stage being constructed to hold the substrate and including at least a sensor for sensing the patterned radiation beam, the sensor including an at least partially transmissive layer having a front side facing the incoming radiation beam and a back side opposite the front side, wherein the back side is provided with at least a sensor mark to be subjected to the radiation beam transmitted through the layer. | 12-24-2009 |
20100002206 | EXPOSURE CONDITION DETERMINATION METHOD, EXPOSURE METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - The situation of a liquid immersion region (LR) formed on the surface of a substrate (P) is detected while changing at least one of the movement condition of the substrate (P) and the liquid immersion condition when forming the liquid immersion region (LR), and an exposure condition is determined based on the detection results. | 01-07-2010 |
20100002207 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a projection system configured to project an image onto a substrate, a substrate table configured to support the substrate, a first chamber that at least partially surrounds the projection system, and a second chamber that at least partially surrounds the substrate table and a first frame. The apparatus includes a base frame configured to support the second chamber, and an intermediate frame coupled to the base frame. The intermediate frame is configured to separate the first chamber and the second chamber. The apparatus includes a support coupled to the first frame. The support is configured to support the first chamber through a coupled opening in the intermediate frame and the second chamber. | 01-07-2010 |
20100002208 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - At least one exemplary embodiment is directed to a method of exposing a substrate to light, including a measurement step of measuring position of a mark arranged on one of a substrate and a stage configured to hold the substrate and to move; a detection step of detecting a foreign particle on the mark based on a process performed in the measurement step; a removing step of removing the foreign particle on the mark in accordance with detection of the foreign particle in the detection step; a moving step of moving the stage based on the position of the mark measured in the measurement step; and an exposure step of exposing the substrate moved in the moving step to light. | 01-07-2010 |
20100007862 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An apparatus that projects a pattern of an original, onto a substrate held by a substrate stage having a top plate, to expose the substrate, comprises a cleaning unit, the cleaning unit including a discharge nozzle configured to discharge a fluid toward the top plate, and a recovery nozzle configured to recover the fluid discharged from the discharge nozzle, wherein an opening of the recovery nozzle has a shape which surrounds a path of the fluid discharged from the discharge nozzle. | 01-14-2010 |
20100014059 | Lithographic Apparatus and Device Manufacturing Method - A lithographic apparatus and method in which a system is used to emit a patterned beam. The patterned beam is projected onto a target portion of the surface of a substrate supported on a substrate support. The target portion has predetermined spatial characteristics relative to the substrate table that are appropriate for a desired exposure pattern on the surface of the substrate. The temperature of the substrate is measured, and the dimensional response of the substrate to the measured temperature is calculated. The spatial characteristics of the target portion relative to the substrate table are adjusted to compensate for the calculated dimensional response. | 01-21-2010 |
20100014060 | LITHOGRAPHIC APPARATUS, A METROLOGY APPARATUS AND A METHOD OF USING THE APPARATUS - A lithographic projection apparatus is disclosed that comprises a substrate table, a projection system, a liquid confinement structure and a thermal measurement system. The substrate table is configured to support a substrate. The projection system is configured to direct a patterned beam of radiation on to a target portion of the substrate. The liquid confinement structure is configured to at least partly confine an immersion liquid to a space between the projection system and the substrate, the substrate table, or both. The thermal measurement system comprises a thermally sensitive coating. The thermal measurement system is configured to detect the temperature of the immersion liquid in contact with the coating. Also disclosed is a thermal measurement system, a metrology system comprising the thermal measurement system and a dummy wafer for the thermal measurement system. | 01-21-2010 |
20100014061 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus is disclosed in which a liquid supply system provides a liquid between the projection system and the substrate. An active drying station is provided to actively remove the liquid from the substrate W or other objects after immersion of all or part of a surface of the substrate W or other objects. | 01-21-2010 |
20100020296 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus having an illumination system configured to condition a radiation beam, a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam, a substrate table constructed to hold a substrate; a projection system configured to project the patterned radiation beam onto a target portion of the substrate; and, an actuator arranged to exert a force on an object, wherein the apparatus includes a thermal expansion error compensator configured to avoid an error caused by thermal expansion of the object by any heat dissipated by the actuator or another heat source. | 01-28-2010 |
20100020297 | METHOD FOR IMPROVING SURFACE ROUGHNESS OF PROCESSED FILM OF SUBSTRATE AND APPARATUS FOR PROCESSING SUBSTRATE - A treatment apparatus for treating a substrate on a surface of which a treatment film has been formed and subjected to exposure processing and developing treatment. The treatment apparatus includes a nozzle for supplying a solvent gas of the treatment film to the surface of the treatment film on the substrate, and a moving mechanism for moving the nozzle which is supplying the solvent gas, relative to the substrate. The nozzle has an elongated discharge portion at least longer than a diameter of the substrate and partition plates at a front and a rear in the moving direction of the nozzle. | 01-28-2010 |
20100026974 | Cooling of Actuator Coils - In order to effectively transfer heat from inner layers of an actuator coil to an area external to the coil, heat transfer elements, located proximate to the actuator coil, can be used. In an embodiment, a heat transfer apparatus for the actuator coil can include one or more heat transfer elements located proximate to one or more layers or one or more windings of the actuator coil and a cooling surface located proximate to the one or more heat transfer elements and to the actuator coil. In this configuration, the heat transfer apparatus can transfer heat from inner layers of the actuator coil to the cooling surface, which in turn transfers the heat to an area external to the actuator coil. | 02-04-2010 |
20100033694 | Exposure method, exposure apparatus and device manufacturing method - An exposure apparatus which exposes a wafer with an illumination light via a reticle includes a vortex tube which generates a cool gas and a warm gas from a compressed gas injected from a compressed gas supply tube; a flow rate control valve and a Y-shaped joint which mix the cool gas and the warm gas generated from the vortex tube at a variable mixing ratio to output a temperature-controlled gas; and a gas supply duct which supplies the temperature-controlled gas to a heat source or a vicinity thereof. It is possible to perform the local temperature control or the local cooling by the simple mechanism without using any refrigerant or any cooling medium. | 02-11-2010 |
20100033695 | LITHOGRAPHY APPARATUS AND MANUFACTURING METHOD USING THE SAME - An lithography apparatus for manufacturing an organic transistor that is capable of aligning accurately in self-alignment fashion relative positions of a gate electrode and a pair of source and drain electrodes and has high productivity. In an lithography apparatus for radiating a light to a photosensitive self-assembled film and exposing the same in self-aligning fashion using a gate electrode as a mask, by transporting a flexible translucent substrate from roller to roller and forming a gate electrode, an insulating layer, and the photosensitive self-assembled film on the flexible substrate when an organic transistor is formed on the flexible substrate, a reflection preventing film is provided on an inner wall of the apparatus that is on the opposite side of the flexible substrate as seen from an exposure light source. | 02-11-2010 |
20100039628 | Cleaning tool, cleaning method, and device fabricating method - A cleaning tool is loaded onto an exposure apparatus, which exposes a substrate with exposure light, and cleans a member inside the exposure apparatus. The cleaning tool comprises: a base member; and a cleaning member that is disposed on the base member and permeated with a cleaning liquid. | 02-18-2010 |
20100045948 | EUV LITHOGRAPHY APPARATUS AND METHOD FOR DETERMINING THE CONTAMINATION STATUS OF AN EUV-REFLECTIVE OPTICAL SURFACE - The invention relates to an EUV lithography apparatus with at least one EUV-reflective optical surface and a cavity ringdown reflectometer adapted to determine the contamination status of the EUV-reflective optical surface for at least one contaminating substance by determining the reflectivity of the EUV-reflective optical surface for radiation at a measuring wavelength (λm). The invention further relates to a method for determining the contamination status of at least one EUV-reflective optical surface arranged in an EUV lithography apparatus for at least one contaminating substance comprising determining the reflectivity of the EUV-reflective optical surface for radiation at a measuring wavelength (λm) using a cavity ringdown reflectometer. | 02-25-2010 |
20100045949 | Exposure apparatus, maintaining method and device fabricating method - An exposure apparatus exposes a substrate with exposure light that passes through a liquid. The exposure apparatus comprises: a porous member that has a first surface, which is capable of opposing an object disposed at an irradiation position of the exposure light, and a second surface, which is opposite the first surface, and forms a first space that is capable of holding the liquid between the first surface and the object; a supply port, which is capable of supplying the liquid to the first space; a prescribed member, which forms a second space that faces the second surface; an adjusting apparatus, which is capable of decreasing a pressure in the second space such that the liquid in the first space moves to the second space via holes in the porous member; and a control apparatus, which controls an operation of supplying the liquid via the supply ports and a pressure adjustment operation performed by the adjusting apparatus. The control apparatus repetitively executes a first operation, which supplies the liquid to the first space, and a second operation, which stops the supply of the liquid to the first space and negatively pressurizes the second space such that the liquid is substantially eliminated from the first space, to clean the porous member. | 02-25-2010 |
20100045950 | LITHOGRAPHIC APPARATUS, DRYING DEVICE, METROLOGY APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is described in which a two-phase flow is separated into liquid-rich and gas-rich flows by causing the liquid-rich flow to preferentially flow along a surface. | 02-25-2010 |
20100045951 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A temperature sensor is provided to measure the temperature of immersion liquid at a position where the immersion liquid is supplied. The reading of this temperature sensor is used to control the magnitude of heat input to the immersion liquid using a heater and/or cooler. A controller is used to calculate the calibration error of the temperature sensor relative to a temperature sensor that is provided upstream. The controller uses readings of the temperature difference between the two temperature sensors at one or more mass flow rates in order to estimate the temperature difference between the two temperature sensors at an infinite mass flow rate. The temperature difference at this infinite mass flow rate is estimated to be the temperature calibration error of the temperature sensor to measure the temperature of immersion liquid at a position where the immersion liquid is supplied relative to the upstream temperature sensor. | 02-25-2010 |
20100053574 | Liquid Immersion Lithography System Comprising a Tilted Showerhead Relative to a Substrate - A liquid immersion lithography system includes projection optics (PL) and a showerhead ( | 03-04-2010 |
20100053575 | Thermal Control For EUV Lithography - A method of patterning an integrated circuit including generating a thermal profile of a reticle is provided. The thermal profile of the reticle may illustrate heat accumulation (e.g., a temperature) in a EUV reticle due an incident EUV radiation beam. The thermal profile may be determined using the pattern density of the reticle. The reticle is irradiated with a radiation beam having an extreme ultraviolet (EUV) wavelength. A thermal control profile may be generated using the thermal profile, which may define a parameter of the lithography process such as, a temperature gradient of a thermal control chuck. The thermal control profile may be downloaded to the EUV lithography tool (e.g., scanner or stepper) for use in a process. A separate thermal control profile may be provided for different reticles. | 03-04-2010 |
20100053576 | RADIATION SOURCE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A radiation source is configured to produce extreme ultraviolet radiation. The radiation source includes a chamber in which, in use, a plasma is generated, and an evaporation surface configured to evaporate a material formed as a by-product from the plasma and that is emitted to the evaporation surface. A method for removing a by-product material in or from a plasma radiation source of a lithographic apparatus includes evaporating a material which, in use, is emitted to that surface from the plasma. | 03-04-2010 |
20100060868 | FLUID HANDLING STRUCTURE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTUIRNG METHOD - A fluid handling structure is disclosed which is designed for all wet immersion lithography. The fluid handling structure has a first opening to provide fluid to a space between a final element of a projection system and a substrate and/or substrate table, a barrier to resist the flow of liquid out of the space between the fluid handling structure and the substrate, and a second opening, which opens into an area radially outwardly of the space, to provide a flow of fluid from the fluid handling structure onto a top surface of the substrate and/or substrate table radially outwardly of the space. A controller may be provided such that flow of fluid towards a center of the substrate table is greater than the flow of fluid in a direction away from the center of the substrate table. | 03-11-2010 |
20100060869 | LITHOGRAPHIC APPARATUS AND ALIGNMENT METHOD - An alignment method for a substrate or a patterning device is disclosed along with a corresponding apparatus. The method includes using a part of an alignment arrangement of a lithographic apparatus to undertake a part of an alignment procedure on a part of a substrate or on a part of a patterning device, until the substrate or a part of or in the lithographic apparatus, has become thermally stabilized within a limit. | 03-11-2010 |
20100060870 | LITHOGRAPHIC APPARATUS, METHOD OF MANUFACTURING AN ARTICLE FOR A LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is disclosed in which a specific coating is applied to a specific surface. The coating is made from at least 99 wt % of at least one of the following: a transition metal oxide; a poor metal oxide, sulfide or selenide; a compound with the formula ATiO | 03-11-2010 |
20100066987 | LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS - A lithographic apparatus is disclosed that includes a projection system, and a liquid confinement structure configured to at least partly confine immersion liquid to an immersion space defined by the projection system, the liquid confinement structure and a substrate and/or substrate table. Measures are taken in the lithographic apparatus, for example, to reduce the effect of droplets on the final element of the projection system or to substantially avoid such droplet formation. | 03-18-2010 |
20100066988 | LITHOGRAPHIC APPARATUS AND METHOD OF GENERATING THE APPARATUS - A lithographic apparatus comprising a projection system, and a liquid confinement structure configured to at least partly confine immersion liquid to an immersion space defined by the projection system, the liquid confinement structure and a substrate and/or substrate table is disclosed wherein a humid gas space is defined between the projection system, the liquid confinement structure and immersion liquid in the immersion space, the humid gas space being configured to contain humid gas. | 03-18-2010 |
20100073647 | COATING FILM FORMING APPARATUS AND COATING FILM FORMING METHOD FOR IMMERSION LIGHT EXPOSURE - A coating film forming apparatus for immersion light exposure, for forming a coating film including a resist film or a resist film and an additional film on a substrate to be fed to an immersion light exposure apparatus configured to perform a light exposure process through a liquid, includes: one or more coating units configured to apply the resist film or the resist film and the additional film onto the substrate; one or more thermally processing units configured to perform a thermal process necessary for forming the coating film on the substrate; a checking unit configured to check a state of the coating film at an edge portion of the substrate before the immersion light exposure; and a control section configured to use a check result obtained by the checking unit to make a judgment of whether or not the state of the coating film at the edge portion of the substrate is within an acceptable range, and to permit transfer of the substrate to the light exposure apparatus when the state of the coating film is within the acceptable range. | 03-25-2010 |
20100073648 | Masks and Methods of Manufacture Thereof - Lithography masks and methods of manufacture thereof are disclosed. A preferred embodiment includes a method of generating an assist feature of a lithography mask. The method includes providing a layout for a material layer of a semiconductor device, the layout including a pattern for at least one feature of the semiconductor device. The method includes forming an assist feature in the pattern for the at least one feature, wherein the assist feature extends completely through the pattern for the at least one feature. | 03-25-2010 |
20100073649 | Exposure Apparatus Having An Element To Be Cooled - An exposure apparatus having an element to be cooled and that exposes a substrate to patterned radiation by using the element. A reservoir houses a liquid coolant therein. A first pump, disposed between the reservoir and the element, supplies the coolant from the reservoir to the element. A heater, disposed between the first pump and the element, heats the coolant supplied from the first pump. A jacket receives the coolant from the heater and cools the element. A second pump, disposed between the jacket and the reservoir, reduces a pressure of the jacket and supplies the coolant from the jacket to reservoir. A cooler, disposed between the second pump and the reservoir, cools the coolant supplied from the second pump. | 03-25-2010 |
20100073650 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus with a cover plate formed separately from a substrate table and means for stabilizing a temperature of the substrate table by controlling the temperature of the cover plate is disclosed. A lithographic apparatus with thermal insulation provided between a cover plate and a substrate table so that the cover plate acts as a thermal shield for the substrate table is disclosed. A lithographic apparatus comprising means to determine a substrate table distortion and improve position control of a substrate by reference to the substrate table distortion is disclosed. | 03-25-2010 |
20100085545 | FLUID HANDLING STRUCTURE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A fluid handling structure for a lithographic apparatus is disclosed. The fluid handling structure has a plurality of openings arranged in plan, in a line. The fluid handling structure is configured such that the openings are directed, in use, towards a facing surface, the facing surface being a substrate and/or a substrate table. The substrate table is configured to support the substrate. Outward of the line of openings is a damper. The damper may have a width that varies along the line of openings. The damper width is defined between the line of openings and an opposing damper edge. | 04-08-2010 |
20100085546 | LITHOGRAPHIC APPARATUS AND HUMIDITY MEASUREMENT SYSTEM - A humidity measurement system for use in, for example, a lithographic apparatus. The humidity measurement system includes a tunable laser diode configured to emit a measurement radiation beam having a wavelength in a wavelength range. The wavelength range includes a first wavelength associated with an absorption peak of water molecules. A signal processing unit is connected to a radiation detector. The signal processing unit is configured to measure an intensity of the measurement radiation beam of the tunable laser diode subjected to absorption. The signal processing unit is also connected to the tunable laser diode for obtaining wavelength information. The signal processing unit is arranged to detect an extreme value in a measured intensity as function of the wavelength and to calculate a humidity value from the detected extreme value. | 04-08-2010 |
20100091255 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus includes a liquid supply system member configured to contain a liquid in a space between a projection system of the lithographic apparatus and the substrate and a liquid supply system member compensator arranged to compensate an interaction between the liquid supply system member and substrate table. | 04-15-2010 |
20100097584 | EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD - An exposure apparatus which exposes a substrate via a liquid supplied between a projection optical system and the substrate, the apparatus comprises a gas supply-recovery mechanism configured to blow a gas around the liquid, wherein the gas supply-recovery mechanism includes a nozzle unit in which a supply port configured to supply the gas, and a recovery port which is arranged nearer to an optical axis of the projection optical system than the supply port and is configured to recover the gas are formed, and wherein the nozzle unit is configured such that a first portion which is adjacent to the supply port and is nearer to the optical axis than the supply port is closer to an image plane of the projection optical system than a second portion which is adjacent to the supply port and is farther from the optical axis than the supply port. | 04-22-2010 |
20100097585 | APPARATUS AND METHOD TO CONTROL VACUUM AT POROUS MATERIAL USING MULTIPLE POROUS MATERIALS - An immersion liquid confinement apparatus confines an immersion liquid in an immersion area that includes a gap between a projection system and an object of exposure in an immersion lithography system. The apparatus also recovers the immersion liquid from the immersion area. The apparatus includes a confinement member and first and second liquid-permeable members. The confinement member includes an outlet and an aperture through which a patterned image is projected onto the object. The first liquid-permeable member covers the outlet and has a first surface that faces the object and a second surface opposite the first surface, the second surface contacting a first chamber. The second liquid-permeable member has first and second oppositely-facing surfaces, the first surface of the second liquid-permeable member contacts the first chamber, the second surface of the second liquid-permeable member contacts a second chamber that is different from the first chamber. | 04-22-2010 |
20100097586 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is provided in which a maximum permissible velocity of the substrate relative to a fluid confinement structure that controls the immersion fluid is determined based on a property of the substrate to be exposed and, during the exposure process, the velocity of the substrate relative to the fluid confinement structure is limited to be below this maximum permissible velocity. | 04-22-2010 |
20100097587 | LITHOGRAPHIC APPARATUS AND A METHOD OF REMOVING CONTAMINATION - A lithographic apparatus includes a fluid supply system configured to provide a cleaning fluid to a surface to be cleaned. The cleaning fluid includes from 25 to 98.99 wt % water; from 1 to 74.99 wt % solvent selected from one or more glycol ethers, esters, alcohols and ketones; and from 0.01 to 5 wt % surfactant. | 04-22-2010 |
20100103390 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus arranged to project a pattern from a patterning device onto a substrate. The apparatus is provided with a clamp, including a support part configured to support the patterning device or the substrate and a temperature control part configured to control the temperature of the patterning device or the substrate. The clamp is constructed to mechanically isolate the temperature control part from the support part with a flexible connector so that vibrations, shrink and expansion of the temperature control part will not influence the patterning device and/or the substrate. | 04-29-2010 |
20100103391 | FLUID HANDLING STRUCTURE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A fluid handling structure and lithographic apparatus is disclosed in which measures are taken, in particular to the dimensions and spacing of an array of openings in a bottom surface of the fluid handling structure, to deal with and/or prevent formation of bubbles in immersion liquid. | 04-29-2010 |
20100103392 | Immersion exposure device cleaning method, dummy wafer, and immersion exposure device - The immersion exposure device cleaning method according to the invention includes: placing a dummy wafer onto a stage of the immersion exposure device; and moving the stage while maintaining an immersion solution between the dummy wafer and a projector lens. The dummy wafer includes a substrate and an adsorption area that is formed on the substrate and has higher adsorption power for particles suspended in the supplied immersion solution than the substrate has for the particles. | 04-29-2010 |
20100110397 | High Heat Load Optics with a Liquid Metal Interface for Use in an Extreme Ultraviolet Lithography System - Methods and apparatus for cooling mirrors in an extreme ultraviolet (EUV) lithography system using a liquid metal interface are described. According to one aspect of the present invention, an apparatus which may be used in an EUV lithography system includes a heat exchanger, a mirror assembly, and a first liquid metal interface. The heat exchanger including at least a first surface. The mirror assembly includes a first mirror block having a first mirrored surface, as well as at least a first well. Finally, the first liquid metal interface includes liquid metal which is contained in the first well. The first surface is in contact with the liquid metal such that heat may be transferred form the first mirror block to the heat exchanger. | 05-06-2010 |
20100110398 | METHODS RELATING TO IMMERSION LITHOGRAPHY AND AN IMMERSION LITHOGRAPHIC APPARATUS - A method of detecting particles in an immersion fluid of or from a lithographic apparatus. The method includes extracting a sample, using a vacuum system, from a single phase flow of the immersion fluid of or from a fluid handling structure in the lithographic apparatus. The method includes detecting particles in the sample, and initiating a signal if the detected particles are above a certain threshold. | 05-06-2010 |
20100118285 | EXPOSURE APPARATUS, SUBSTRATE PROCESSING APPARATUS, LITHOGRAPHY SYSTEM, AND DEVICE MANUFACTURING METHOD - An exposure apparatus for exposing a substrate to radiant energy, the substrate being conveyed from a processing apparatus including a coater that coats the substrate with a photoresist and an auxiliary regulator that regulates a temperature of the substrate, the exposure apparatus comprising a measurement device configured to measure the temperature of the substrate, a main regulator configured to regulate a temperature of the substrate prior to exposure of the substrate based on an output from the measurement device, and a controller configured to determine temperature control information used to control regulation of the temperature of the substrate in the auxiliary regulator based on an output from the measurement device, and to transmit the determined temperature control information to the processing apparatus. | 05-13-2010 |
20100118286 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus exposes a substrate to light via an immersion liquid and includes a stage configured to hold the substrate and to be moved. The stage includes a chuck configured to hold the substrate, a support member arranged around the chuck and configured to support the immersion liquid, a recovery path configured to recover the immersion liquid having entered a gap between the substrate and the support member, and a temperature-regulating path through which the temperature-regulated liquid flows. The temperature-regulating path is connected with the recovery path. | 05-13-2010 |
20100128235 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus and device manufacturing method makes use of a liquid confined in a reservoir between the projection system and the substrate. Bubbles forming in the liquid from dissolved atmospheric gases or from out-gassing from apparatus elements exposed to the liquid are detected and/or removed so that they do not interfere with exposure and lead to printing defects on the substrate. Detection may be carried out by measuring the frequency dependence of ultrasonic attenuation in the liquid and bubble removal may be implemented by degassing and pressurizing the liquid, isolating the liquid from the atmosphere, using liquids of low surface tension, providing a continuous flow of liquid through the imaging field, and/or phase shifting ultrasonic standing-wave node patterns. | 05-27-2010 |
20100134768 | PROJECTION EXPOSURE SYSTEM FOR MICROLITHOGRAPHY - The disclosure relates to a projection exposure system for microlithography, which includes at least one optical system that has at least one optical element with at least two aspherical surfaces essentially arranged rigidly relative to each other. | 06-03-2010 |
20100134769 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A difficulty of contamination interfering with a grid plate positional measurement system is addressed. In one embodiment contamination is prevented from coming into contact with the grating or the sensor. In an embodiment, surface acoustic waves are used to detach contamination from a surface of the grating or sensor. | 06-03-2010 |
20100134770 | EXPOSURE APPARATUS AND METHOD FOR MANUFACTURING DEVICE - An exposure apparatus projects a pattern of an original plate onto a substrate through a projection optical system to expose the substrate to the pattern. The exposure apparatus includes a supporting member configured to support an optical element of the projection optical system along the direction of gravitational force, and position adjustment mechanisms disposed at least two different positions on the supporting member and configured to press the optical element to displace the optical element relative to the supporting member. The pressing force of the position adjustment mechanisms against the optical element is changed to move contact positions between the position adjustment mechanisms and the optical element to displace the optical element relative to the supporting member. Thus, optical performance adjustment of the optical element is performed, and then all the position adjustment mechanisms are made in non-contact state with the optical element. | 06-03-2010 |
20100134771 | Coupling apparatus, exposure apparatus, and device fabricating method - A lithographic projection apparatus includes an illumination system arranged to condition a radiation beam, a support structure configured to hold a patterning device, the patterning device being capable of imparting the radiation beam with a pattern, a substrate table configured to hold a substrate, a projection system arranged to project the patterned radiation beam onto a target portion of the substrate, and a liquid supply system configured to at least partly fill a space between the projection system and the substrate, with a liquid. The projection system includes a first part and a second part that are two separate physical parts that are substantially isolated from each other such that vibrations in the second part are substantially prevented from being transferred to the first part. Each part includes an optical element of the projection system and the first and second parts are not attached to and movable with the substrate. | 06-03-2010 |
20100134772 | Exposure apparatus, method for cleaning member thereof, maintenance method for exposure apparatus, maintenance device, and method for producing device - An exposure apparatus forms an immersion area of a liquid on the side of the image plane of a projection optical system and performs exposure of a substrate via the projection optical system and the liquid of the immersion region. The exposure apparatus has an optical cleaning unit which irradiates a predetermined irradiation light, having an optical cleaning effect, onto, for example, the upper surface of the substrate stage which makes contact with the liquid for forming the immersion area. Thus, it is possible to prevent deterioration of the exposure accuracy and measurement accuracy due to pollution of the member in contact with the liquid in the immersion region. | 06-03-2010 |
20100134773 | APPARATUS AND METHOD TO CONTROL VACUUM AT POROUS MATERIAL USING MULTIPLE POROUS MATERIALS - An immersion liquid confinement apparatus recovers an immersion liquid from an immersion area that includes a gap between a projection system and an object of exposure in an immersion lithography system. The apparatus includes a confinement member that includes an outlet and an aperture through which a patterned image is projected onto the object. A first liquid-permeable member covers the outlet and has a first surface that faces the object and a second surface opposite the first surface, the second surface contacting a first chamber. A second liquid-permeable member has first and second oppositely-facing surfaces, the first surface of the second liquid-permeable member contacts the first chamber, the second surface of the second liquid-permeable member contacts a second chamber that is different from the first chamber. A hydrophobic porous member is provided between the first chamber and a vacuum system that supplies a low pressure to the first chamber. | 06-03-2010 |
20100141909 | RADIATION SYSTEM AND LITHOGRAPHIC APPARATUS - A radiation system for generating a beam of radiation that defines an optical axis is provided. The radiation system includes a plasma produced discharge source for generating EUV radiation. The discharge source includes a pair of electrodes constructed and arranged to be provided with a voltage difference, and a system for producing a plasma between the pair of electrodes so as to provide a discharge in the plasma between the electrodes. The radiation system also includes a debris catching shield for catching debris from the electrodes. The debris catching shield is constructed and arranged to shield the electrodes from a line of sight provided in a predetermined spherical angle relative the optical axis, and to provide an aperture to a central area between the electrodes in the line of sight. | 06-10-2010 |
20100141910 | MEMBER WITH A CLEANING SURFACE AND A METHOD OF REMOVING CONTAMINATION - A member with a cleaning surface for use in capturing particles in a lithographic apparatus is disclosed. The particles are captured by a plurality of projections which are arranged in a pattern. A sensor can be used to detect contaminant particles in the pattern. | 06-10-2010 |
20100141911 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus, exposing a substrate via liquid so as to transfer a pattern of a mask onto the substrate, includes a stage configured to move while holding the substrate. The stage includes a substrate supporting portion on which the substrate is disposed, a supporting surface disposed outside the substrate supporting portion configured to support the liquid together with the substrate, and a frame portion formed so as to surround the supporting surface. The frame portion includes a depression and a member whose top surface is located in a plane including the supporting surface. | 06-10-2010 |
20100141912 | EXPOSURE APPARATUS AND MEASURING DEVICE FOR A PROJECTION LENS - A microlithographic projection exposure apparatus includes a projection lens that is configured for immersion operation. For this purpose an immersion liquid is introduced into an immersion space that is located between a last lens of the projection lens on the image side and a photosensitive layer to be exposed. To reduce fluctuations of refractive index resulting from temperature gradients occurring within the immersion liquid, the projection exposure apparatus includes heat transfer elements that heat or cool partial volumes of the immersion liquid so as to achieve an at least substantially homogenous or at least substantially rotationally symmetric temperature distribution within the immersion liquid. | 06-10-2010 |
20100149500 | PROJECTION LENS FOR MICROLITHOGRAPHY AND CORRESPONDING TERMINAL ELEMENT - The invention relates to a projection lens ( | 06-17-2010 |
20100149501 | FLUID EXTRACTION SYSTEM, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus typically includes a fluid handling system. The fluid handling system generally has a two-phase fluid extraction system configured to remove a mixture of gas and liquid from a given location. Because the extraction fluid comprises two phases, the pressure in the extraction system can vary. This pressure variation can be passed through the immersion liquid and cause inaccuracy in the exposure. To reduce the pressure fluctuation in the extraction system, a buffer chamber may be used. This buffer chamber may be connected to the fluid extraction system in order to provide a volume of gas which reduces pressure fluctuation. Alternatively or additionally, a flexible wall may be provided somewhere in the fluid extraction system. The flexible wall may change shape in response to a pressure change in the fluid extraction system. By changing shape, the flexible wall can help to reduce, or eliminate, the pressure fluctuation. | 06-17-2010 |
20100157259 | AUTOMATIC DUST-REMOVING FILMSCANNER - An automatic dust-removing filmscanner comprises a scanner body, a film holder and a dust-removing element. The scanner body has a film-scanning platform and an image-capture module thereinside and has a slot on the surface thereof. The dust-removing element is installed in the film holder. When the film holder is inserted into the slot to locate the film over the film-scanning platform and enable the image-capture module to retrieve the image data recorded on the films, the dust-removing elements on the film holder simultaneously cleans the film-scanning platform. Therefore, the automatic dust-removing filmscanner not only retrieves image data but also automatically cleans the film-scanning platform at the same time without dismantling the scanner body to maintain the scanning quality. | 06-24-2010 |
20100157260 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus having a table including a target and/or a sensor and a liquid displacing device to displace liquid from the target and/or sensor using a localized gas flow is disclosed. The liquid displacement device may be positioned at various positions, e.g. mounted to a liquid handling device at an exposure station, adjacent or in a transfer path between the exposure station and a measurement station, at a load/unload station or adjacent a sensor. | 06-24-2010 |
20100157261 | Substrate Treating Apparatus - A photolithography process facility comprising a substrate treating apparatus, the substrate treating apparatus includes a temperature control plate controlling a temperature a substrate, a central supporter having a pin shape vertically penetrating the temperature control plate and supporting a central region of substrate, and a collision preventer preventing a collision between the substrate and the temperature control plate. | 06-24-2010 |
20100157262 | Exposure apparatus and device manufacturing method - An exposure apparatus for emitting exposure light onto a substrate via a projection optical system and a liquid to expose the substrate includes a supply pipe which supplies the liquid; a recovery pipe which recovers the liquid; a connection pipe which connects the supply pipe and the recovery pipe; and a switching device which switches a flow path of the liquid so that when liquid supply is stopped, the liquid that has flowed into the supply pipe flows to the recovery pipe via the connection pipe. The apparatus may further include a temperature regulation apparatus connected to the supply pipe, which performs temperature regulation of the liquid supplied to the supply pipe, and has a rough temperature regulator which roughly regulates the temperature of the liquid, and a fine temperature regulator which is arranged between the rough temperature regulator and the supply pipe and performs fine regulation of this temperature. | 06-24-2010 |
20100165309 | Deformation measuring apparatus, exposure apparatus, jig for the deformation measuring apparatus, position measuring method and device fabricating method - A piezoelectric device is provided at a base member. Regulating apparatus is provided that regulate, of deformations transmitted via the base member to the piezoelectric device, the transmission of a deformation in second directions, which intersect first directions. | 07-01-2010 |
20100171939 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic method, a characteristic of a projection system is measured before and after periods of heating (exposures) and cooling to provide data to calibrate a model of lens heating. The model has a part modeling the effect of cooling and a part modeling the effect of heating on the characteristic. | 07-08-2010 |
20100171940 | Projection exposure apparatus, projection exposure method, and method for producing device - A projection exposure apparatus transfers a pattern formed on a mask onto a substrate through a liquid. The projection exposure apparatus includes an optical member which projects an image of the pattern onto the substrate and an electricity removal device which removes electricity from the liquid to be supplied to a space between the optical member and a surface of the substrate by adding an additive to the liquid to suppress the liquid from being charged. | 07-08-2010 |
20100177289 | Immersion Fluid for Immersion Lithography, and Method of Performing Immersion Lithography - An immersion lithographic system | 07-15-2010 |
20100182576 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A liquid supply system for an immersion lithographic projection apparatus is disclosed in which a space is defined between the projection system, a barrier member and a substrate. The barrier member is not sealed such that, during use, immersion liquid is allowed to flow out the space and between the barrier member and the substrate. | 07-22-2010 |
20100195067 | Exposure apparatus, exposure method, and method for producing device - A lithographic apparatus is arranged to project a pattern from a patterning device onto a substrate through a liquid confined in a space adjacent the substrate. The apparatus includes a structure substantially parallel to a surface of a substrate table configured to hold the substrate, to divide the space into two parts. The structure has an aperture to allow transmission of the pattern and a recess at the bottom side of the structure to extract a fluid from the space. | 08-05-2010 |
20100195068 | CLEANING MEMBER, CLEANING METHOD, AND DEVICE MANUFACTURING METHOD - A cleaning member is smaller than a substrate for exposure where exposure light is irradiated, and at least part of a substrate-holding member that holds a rear face of the substrate for exposure is held by the substrate-holding member in order to clean. | 08-05-2010 |
20100201958 | OPTICAL CORRECTION DEVICE - The disclosure relates to an optical correction device with thermal actuators for influencing the temperature distribution in the optical correction device. The optical correction device is constructed from at least two partial elements which differ with regard to their ability to transport heat. Furthermore, the disclosure relates to methods for influencing the temperature distribution in an optical element. | 08-12-2010 |
20100201959 | PROJECTION OBJECTIVE FOR MICROLITHOGRAPHY - A projection objective for microlithography includes at least one optical assembly with optical elements which are disposed between an object plane and an image plane. The optical assembly includes at least one optical terminal element, which is disposed close to the image plane. A first immersion liquid is disposed on the image oriented surface of the optical terminal element. A second immersion liquid is disposed on the object oriented surface of the optical terminal element. The object oriented surface includes a first surface section for the imaging light to enter into the terminal element, and the image oriented surface includes a second surface portion for the imaging light to exit from the terminal element. | 08-12-2010 |
20100208220 | Aligner and self-cleaning method for aligner - When a self-cleaning method for an aligner is carried out, a reflecting plate having a convex lens portion is set in an original plate holder, and exposure light rays are irradiated from a light source. The surface of the lens portion is coated with a reflective film. The light rays are reflected by the reflecting plate, diffused, and emitted onto the surface of a condenser lens, thereby breaking down and removing contaminants that are adhered to the surface of the condenser lens. The light rays also enter the interior of the condenser lens to clean away contaminants that are adhered to locations other than a normal exposure path. When a concave mirror and/or a reflecting plate having 50% transmittance is used as the reflecting plate, the emission range of the light rays (i.e., the locations that are cleaned) can be changed. | 08-19-2010 |
20100208221 | FLUID SUPPLY SYSTEM, A LITHOGRAPHIC APPARATUS, A METHOD OF VARYING FLUID FLOW RATE AND A DEVICE MANUFACTURING METHOD - A fluid supply system for a lithographic apparatus, includes a controller configured to vary fluid flow rate to a first component from a fluid source while maintaining total flow resistance to fluid downstream of the fluid source substantially constant. | 08-19-2010 |
20100214543 | LITHOGRAPHIC APPARATUS, A METHOD OF CONTROLLING THE APPARATUS AND A DEVICE MANUFACTURING METHOD - A method of operating a lithographic apparatus is disclosed. The method includes moving a substrate table supporting a substrate relative to a projection system and adjusting the scanning speed between the substrate table and the projection system during imaging of a target within a predefined area at or near an edge of the substrate, or adjusting the stepping speed between adjacent target positions in a predefined area at or near the edge of the substrate, or both. The adjusting the scanning and/or stepping speed may comprise lowering the speed. The projection system is configured to project a patterned beam of radiation on to a target portion of the substrate. | 08-26-2010 |
20100214544 | FLUID HANDLING DEVICE, AN IMMERSION LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A fluid handling device for an immersion lithographic apparatus, the fluid handling device comprising: at least one body with a surface facing a space for fluid; a plurality of openings for the flow of fluid therethrough defined in the surface; at least one barrier moveable relative to the plurality of openings for selectively allowing or preventing the flow of fluid through selected openings of the plurality of openings. | 08-26-2010 |
20100220301 | APPARATUS AND METHOD TO CONTROL LIQUID STAGNATION IN IMMERSION LIQUID RECOVERY - An immersion liquid confinement apparatus confines an immersion liquid in an immersion area that includes a gap between a projection system and an object of exposure in an immersion lithography system. The apparatus also recovers the immersion liquid from the immersion area. The apparatus includes a confinement member and a liquid-permeable member. The confinement member includes an outlet and an aperture through which a patterned image is projected onto the object. The liquid-permeable member covers the outlet and has a first surface that faces the object and a second surface opposite the first surface, the second surface contacting a chamber. The confinement member includes at least one liquid inlet within the chamber through which a liquid is introduced into the chamber to reduce liquid from becoming stagnated within the chamber. | 09-02-2010 |
20100220302 | PROJECTION EXPOSURE APPARATUS FOR SEMICONDUCTOR LITHOGRAPHY COMPRISING A COOLING DEVICE - A projection exposure apparatus for semiconductor lithography includes a cooling device for cooling components of the projection exposure apparatus. The cooling device contains a liquid cooling medium having a thermal conductivity of greater than 5W/mK. | 09-02-2010 |
20100231876 | Apparatus and method for recovering fluid for immersion lithography - Apparatus and methods recover a fluid from an immersion area formed in a gap between a projection system and an object of exposure in an immersion lithography system. Liquid is supplied through a supply inlet. A porous member is disposed adjacent to a space. The porous member includes a first portion and a second portion. A recovery capability to remove the supplied liquid from the space through the first portion is different from a recovery capability to remove the supplied liquid from the space through the second portion. | 09-16-2010 |
20100245789 | EXPOSURE APPARATUS - An exposure apparatus ( | 09-30-2010 |
20100245790 | METHOD AND SYSTEM FOR DETECTING PARTICLE CONTAMINATION IN AN IMMERSION LITHOGRAPHY TOOL - In an immersion lithography tool, the status of the immersion hood surface may be estimated on the basis of an inline detection system that generates optical measurement data. For example, a digital imaging system may be implemented in order to obtain optical measurement data without requiring exposure of the interior of the lithography tool to ambient air. In other cases, other optical measurement techniques, such as FTIR and the like, may be applied. | 09-30-2010 |
20100245791 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Methods and apparatus for maintaining the thermal equilibrium of a substrate and an immersion lithographic apparatus are disclosed using or having a timetable comprising information regarding the position, speed and/or acceleration of the substrate; and an evaporation controller and/or condensation controller acting to decrease localized evaporation and/or increase localized condensation in response to the information in the timetable. Evaporation of liquid from the surface of the substrate cools it down, while condensing liquid on its bottom surface heats the substrate locally. | 09-30-2010 |
20100259733 | Apparatus comprising a rotating contaminant trap - A contaminant trap apparatus arranged in a path of a radiation beam to trap contaminants emanating from a radiation source configured to produce the radiation beam is disclosed. The contaminant trap apparatus includes a rotor having a plurality of channel forming elements defining channels which are arranged substantially parallel to the direction of propagation of the radiation beam, the rotor including electrically chargeable material and arranged to be electrically charged as a result of the operation of the radiation source; and a bearing configured to rotatably hold the rotor with respect to a rotor carrying structure, wherein the apparatus is configured to (i) control or redirect an electrical discharge of the rotor, or (ii) suppress an electrical discharge of the rotor, or (iii) both (i) and (ii). | 10-14-2010 |
20100259734 | Cooling Device, Cooling Arrangement and Lithographic Apparatus Comprising a Cooling Arrangement - A cooling arrangement is described and includes a heat sink having a first thermal contact surface, an object having a second thermal contact surface and a resilient wall. The first thermal contact surface and the second thermal contact surface face each other and define a gap. The resilient wall is part of an enclosure that surrounds a space at least comprising the gap, and the cooling arrangement includes a facility to maintain a pressure difference between the space and an environment of the cooling arrangement. Additionally, a lithographic apparatus comprising such a cooling arrangement is described. | 10-14-2010 |
20100259735 | FLUID HANDLING DEVICE, AN IMMERSION LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A fluid handling system for an immersion lithographic apparatus that has a fluid removal device to remove immersion liquid from an immersion space, and a droplet removal device to remove a droplet of immersion liquid, wherein: the droplet removal device is located further from an optical axis than the fluid removal device, and the droplet removal device comprises a porous member which faces, e.g., the substrate being exposed and/or the substrate table. | 10-14-2010 |
20100265476 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic projection apparatus, a liquid supply system maintains liquid in a space between the projection system and the substrate. The liquid supply system may further include a de-mineralizing unit, a distillation unit, a de-hydrocarbonating unit, a UV radiation source, and/or a filter configured to purify the liquid. A gas content reduction device may be provided to reduce a gas content of the liquid. A chemical may be added to the liquid using an adding device to inhibit lifeform growth and components of the liquid supply system may be made of a material which is non-transparent to visible light such that growth of lifeforms may be reduced. | 10-21-2010 |
20100265477 | SEMICONDUCTOR MANUFACTURING APPARATUS AND PATTERN FORMATION METHOD - In a pattern formation method employing immersion lithography, after a resist film is formed on a wafer, pattern exposure is performed by selectively irradiating the resist film with exposing light with a liquid including an unsaturated aliphatic acid, such as sunflower oil or olive oil including oleic acid, provided on the resist film. After the pattern exposure, the resist film is developed so as to form a resist pattern made of the resist film. | 10-21-2010 |
20100271602 | PROTECTIVE APPARATUS, MASK, MASK FORMING APPARATUS, MASK FORMING METHOD, EXPOSURE APPARATUS, DEVICE FABRICATING METHOD, AND FOREIGN MATTER DETECTING APPARATUS - A protective apparatus protects a predetermined area on a surface of a substrate. The protective apparatus includes: a frame portion that includes a pair of flexible portions which are disposed along a first direction and oppose each other, and is connected to the surface of the substrate to surround the predetermined area; and a thin film portion that is stretched across the frame portion to oppose the predetermined area and closes an opening portion formed by the frame portion. An end surface of the flexible portion on the thin film portion side has a curved shape which is concave toward a connecting portion with the substrate. | 10-28-2010 |
20100271603 | STAGE FOR SUBSTRATE TEMPERATURE CONTROL APPARATUS - A stage for substrate temperature control apparatus in which an extent of a transient temperature distribution that occurs when a substrate is heated or cooled can be reduced in comparison with the conventional one. The stage for substrate temperature control apparatus is a stage to be used for mounting a substrate having a predetermined diameter in a predetermined position in a substrate temperature control apparatus for controlling a temperature of the substrate, and includes: a plate formed with a step part, which is lower than a center part, on a first surface facing the substrate in a region including a position corresponding to an edge of the substrate; and a temperature control unit provided on a second surface opposite to the first surface of the plate. | 10-28-2010 |
20100271604 | SYSTEM AND METHOD TO INCREASE SURFACE TENSION AND CONTACT ANGLE IN IMMERSION LITHOGRAPHY - A system and method to allow organic fluids to be used in immersion lithographic systems. This is done by providing a showerhead portion of a liquid supply system that is partially coated or made from a TEFLON like material. The TEFLON like material reduces wetness effect, and thus increases containment, when using an organic immersion fluid in a space between the last optic and the substrate. | 10-28-2010 |
20100271605 | Side Seal for Wet Lens Elements - A method for protecting a wet lens element from liquid degradation is provided. The method includes applying a thin coating of an organoxy-metallic compound to the side portions of a wet lens element to leave behind an optically inert, light absorbing metal oxide film. A liquid shield coating is applied on top of the metal oxide coating. The two coating layers protect the wet lens element from liquid degradation when the side portion of the wet lens element is submerged into a liquid. In an embodiment, the wet lens element is an immersion lithography wet lens element and the liquid is an immersion lithography liquid. | 10-28-2010 |
20100283979 | Exposure apparatus, exposing method, and device fabricating method - An exposure apparatus successively exposes each substrate of a plurality of substrates included in a lot with exposure light through a liquid. The exposure apparatus comprises: a movable substrate holding member that holds the substrate at a position whereto the exposure light can be radiated; and a liquid immersion member that is capable of forming an immersion space such that the liquid is held between the liquid immersion member and the substrate held by the substrate holding member and an optical path of the exposure light is filled with the liquid; wherein, before the start of exposure of a first substrate in the lot, the immersion space is formed between the liquid immersion member and a movable member, which is different from the first substrate, and at least one of the liquid immersion member and the movable member is cleaned. | 11-11-2010 |
20100283980 | LIQUID IMMERSION MEMBER - A liquid immersion member is disposed around an optical member having an emergent surface from at least part of which exposure light is emitted and which holds a liquid between itself and an object opposing the emergent surface so that an optical path of exposure light between the emergent surface and the object is filled with a liquid to form an immersion space. The liquid immersion member comprises: an opening that recovers a liquid on the object with a gas; a space into which the liquid and the gas from the opening are entered; a recovery passageway into which only a liquid in the space is entered; an inner side surface that opposes at least part of a side surface of the optical member with a gap therebetween; and a recovery port that recovers at least part of a liquid in the gap. | 11-11-2010 |
20100283981 | IMMERSION LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an all-wet immersion lithographic apparatus, the immersion liquid is allowed to flow off an edge of the substrate table. The immersion liquid is moved with the substrate table during exposure. The motion of the immersion liquid may result in a disturbance and/or de-wetting. A geometry of the substrate table is proposed that may reduce such a disturbance and/or de-wetting. The cross-sectional edge profile of the substrate table and/or the plan shape of the substrate table are considered. | 11-11-2010 |
20100290013 | Immersion Lithographic Apparatus and a Device Manufacturing Method - An immersion lithographic apparatus has a pressure sensor configured to measure the pressure of immersion liquid in a space between the substrate and a projection system. A control system is responsive to a pressure signal generated by the pressure sensor and controls a positioner to exert a force on the substrate table to compensate for the force exerted on the substrate table by the immersion liquid. | 11-18-2010 |
20100290014 | Supporting plate, stage device, exposure apparatus, and exposure method - An exposure apparatus and method exposes, using an optical member, a pattern of a mask onto a substrate that is located on a substrate stage which is movable over a supporting plate. A liquid is provided between the optical member and the substrate, Fluid is sprayed toward the supporting plate to remove liquid from the supporting plate. | 11-18-2010 |
20100290015 | EX-SITU REMOVAL OF DEPOSITION ON AN OPTICAL ELEMENT - A collector assembly with a radiation collector, a cover plate and a support member connecting the radiation collector to the cover plate are provided. The cover plate is designed to cover an opening in a collector chamber. The collector chamber opening may be large enough to pass the radiation collector and the support member. The removed radiation collector can be cleaned with different cleaning procedures, which may be performed in a cleaning device. Such cleaning device may for example consist of the following: a circumferential hull designed to provide an enclosure volume for circumferentially enclosing at least the radiation collector; an inlet configured to provide at least one of a cleaning gas and a cleaning liquid to the enclosure volume to clean at least said radiation collector; and an outlet configured to remove said at least one of said cleaning gas and said cleaning liquid from the enclosure volume. | 11-18-2010 |
20100296067 | LITHOGRAPHIC APPARATUS AND METHOD OF OPERATING THE APPARATUS - A lithographic apparatus comprising a projection system, and a liquid confinement structure configured to at least partly confine immersion liquid to an immersion space defined by the projection system, the liquid confinement structure and a substrate and/or substrate table is disclosed wherein a measure is taken to reduce the effect of droplets and/or a liquid film on the last element of the projection system. | 11-25-2010 |
20100296068 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus exposes an object with exposure light. The exposure apparatus includes: a moving body which holds the object and is movable in a predetermined plane, and in which a scale member including a grating is disposed; a measurement system which measures positional information of the moving body in the predetermined plane using the scale member; a detection system which detects information regarding foreign matter on a surface of the scale member and the size of the foreign matter; and a cleaning apparatus which can clean the scale member. A cleaning operation is performed on the scale member according to a detection result of the detection system. | 11-25-2010 |
20100302518 | LITHOGRAPHIC APPARATUS - The invention relates to a lithographic apparatus arranged to transfer a pattern from a patterning device onto a substrate, including a cooling system to cool a part of the lithographic apparatus, the cooling system including a droplet ejector to form droplets and fire the droplets towards a cooling surface of the part of the lithographic apparatus to cool the part by evaporation of the droplets. | 12-02-2010 |
20100302519 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithography apparatus comprises a temperature controller configured to adjust a temperature of a projection system, a substrate and a liquid towards a common target temperature. Controlling the temperature of these elements and reducing temperature gradients may improve imaging consistency and general lithographic performance. Measures to control the temperature may include controlling the immersion liquid flow rate and liquid temperature, for example, via a feedback circuit. | 12-02-2010 |
20100309445 | Gas Gauge Compatible With Vacuum Environments - A gas gauge ( | 12-09-2010 |
20100309446 | LITHOGRAPHY APPARATUS USING EXTREME UV RADIATION AND HAVING A VOLATILE ORGANIC COMPOUNDS SORBING MEMBER COMPRISING A GETTER MATERIAL - A lithography apparatus ( | 12-09-2010 |
20100315609 | Maintenance method, maintenance device, exposure apparatus, and device manufacturing method - An exposure apparatus is provided with a nozzle member that has at least one of a supply outlet which supplies the liquid and a collection inlet which recovers the liquid. By immersing the nozzle member in cleaning liquid LK stored in container, the nozzle member is cleaned. | 12-16-2010 |
20100315610 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is disclosed having comprising a pump and buffer volume configured to remove remaining liquid from a substrate, the pump and the buffer volume configured to generate a vacuum cleaning gas flow near the substrate by gas suction into the buffer volume. In an embodiment, since gas flow is needed only a limited amount of time (ordinarily less than 5%), evacuation may be performed using only a moderately powered vacuum pump. In addition or alternatively, the buffer volume may be used as a backup volume buffer configured to provide gas vacuum suction, e.g., in case of a vacuum supply outage. | 12-16-2010 |
20100321649 | Optical element - An optical element embodied as a front surface mirror or as a lens wherein the optical element has at least one partial region composed of a material which has the property that the material is cooled upon irradiation with suitable excitation light. | 12-23-2010 |
20100321650 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is described having a liquid supply system configured to at least partly fill a space between a projection system of the lithographic apparatus and a substrate with liquid, a barrier member arranged to substantially contain the liquid within the space, and one or more elements to control and/or compensate for evaporation of liquid from the substrate. | 12-23-2010 |
20100321651 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic projection apparatus has a liquid confinement structure configured to at least partly confine liquid to a space between a projection system and a substrate, the confinement structure having a buffer surface, when in use, positioned in close proximity to a plane substantially comprising the upper surface of the substrate and of a substrate table holding the substrate, to define a passage having a flow resistance. A recess is provided in the buffer surface, the recess, when in use, being normally full of immersion liquid to enable rapid filling of a gap between the substrate and substrate table as the gap moves under the buffer surface. The recess may be annular or radial and a plurality of recesses may be provided. | 12-23-2010 |
20100321652 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus and device manufacturing method is provided in which exposure is carried out by projecting through a liquid having a pH of less than 7, the liquid being in contact with a substrate to be exposed. The liquid advantageously comprises an anti-reflective topcoat. | 12-23-2010 |
20100321653 | LITHOGRAPHIC APPARATUS - A barrier member is provided for use in immersion lithography. The barrier member includes an extractor assembly on a bottom surface configured to face the substrate. The extractor assembly includes a plate configured to split the space between a liquid removal device and the substrate in two such that a meniscus is formed in an upper channel between the liquid removal device and the plate and below the plate between the plate and the substrate. | 12-23-2010 |
20100328634 | LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS - A method of cleaning an immersion lithographic apparatus is disclosed in which a cleaner is added to immersion liquid for use during exposure of a substrate. The cleaner may be a combination of a soap and a solvent. The cleaner maybe present at a concentration of less than 300 ppb. | 12-30-2010 |
20100328635 | Pellicle - There is provided a pellicle having a rectangular pellicle frame formed of four side bars, having a through hole made through at least one of the frame bars for adjusting a pressure of a space formed within the pellicle frame equal to a pressure outside the pellicle frame; and a filter member disposed to cover up an external opening of the through hole for preventing entrance of particles into the space, wherein the through hole is formed with:
| 12-30-2010 |
20110001942 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus is disclosed in which a space between the projection system and the substrate is filled with a liquid. An edge seal member at least partly surrounds the substrate or other object on a substrate table to prevent liquid loss when edge portions of the substrate or other object are, for example, imaged or illuminated. | 01-06-2011 |
20110001943 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - Of a measurement stage that has a plate on which liquid is supplied, and performs measurement related to exposure via a projection optical system and a liquid, at least a part of the measurement stage including the plate can be exchanged. Therefore, by exchanging at least a part of the measurement stage including the plate before the surface of the plate deteriorates due to contact with the liquid, measurement related to exposure can be performed constantly with high precision, which makes it possible to maintain exposure with high precision. Further, in the case at least one edge surface of the plate is mirror-polished, when at least a part of the measurement section including the plate is exchanged to a new component, the position of the plate can be accurately measured, for instance, by an interferometer or the like via the edge surface of the plate that has been mirror-polished, even if the position of the component that has been exchanged is roughly set. | 01-06-2011 |
20110001944 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is disclosed which includes a liquid supply system having an inlet configured to supply a liquid to a space between a projection system of the lithographic apparatus and a substrate and an outlet configured to remove at least part of the liquid, the liquid supply system configured to rotate the inlet, the outlet, or both, about an axis substantially perpendicular to an exposure plane of the substrate. | 01-06-2011 |
20110007285 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus is disclosed in which a space between the projection system and a sensor is filled with a liquid. | 01-13-2011 |
20110007286 | LITHOGRAPHIC APPARATUS, A METHOD OF CONTROLLING THE APPARATUS AND A METHOD OF MANUFACTURING A DEVICE USING A LITHOGRAPHIC APPARATUS - An immersion lithographic apparatus is disclosed that includes a substrate table configured to support a substrate, a projection system configured to direct a patterned beam of radiation onto a substrate, a liquid handling system configured to supply and confine immersion liquid to a space defined between a projection system and a substrate, or substrate table, or both, and a controller to control speed of motion of the substrate table relative to the liquid handling system during movement of the substrate table through a path under the liquid handling system based on a distance between turns in the path. | 01-13-2011 |
20110007287 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus comprising a surface which is curved such that a surface-tension drainage force acts in a direction on a film of immersion liquid on the surface. | 01-13-2011 |
20110007288 | HEAT TRANSFER ASSEMBLY, LITHOGRAPHIC APPARATUS AND MANUFACTURING METHOD - A lithographic apparatus includes a heat transfer assembly configured to temperature control at least a portion of the lithographic apparatus. The heat transfer assembly includes a printed circuit board, and a plurality of heat transfer elements. The printed circuit board and the plurality of heat transfer elements are configured to be attached to the portion of the lithographic apparatus. The plurality of heat transfer elements are separate from and are electrically coupled to the printed circuit board. | 01-13-2011 |
20110007289 | DEVICE CONSTRUCTED AND ARRANGED TO GENERATE RADIATION, LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHOD - A device is constructed and arranged to generate radiation by using an electrical discharge through a gaseous medium. The device includes a first electrode and a second electrode, and a liquid supply arranged to provide a liquid to a location in the device. The device is arranged to be electrically supplied with a voltage and to supply the voltage at least partially to the first electrode and the second electrode in order to allow the electrical discharge to be generated in an electrical field created by the voltage. The electrical discharge produces a radiating plasma. The device also includes a shield arranged between the discharge location and a conducting part connected to the first electrode and/or the second electrode. | 01-13-2011 |
20110013158 | FLUID HANDLING STRUCTURE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A fluid handling structure configured to supply and confine immersion liquid to a space defined between a projection system and a facing surface facing the fluid handling structure is disclosed. A first portion of an undersurface of the fluid handling structure, in use, is a different distance from the facing surface than a second portion of the undersurface. Further, the first portion has defined in it a supply opening configured to supply liquid toward the facing surface, and an extraction opening configured to remove fluid from between the fluid handling structure and the facing surface. | 01-20-2011 |
20110013159 | LITHOGRAPHIC APPARATUS AND A METHOD OF MEASURING FLOW RATE IN A TWO PHASE FLOW - A lithographic apparatus is disclosed that includes a conduit for two phase flow therethrough. A flow separator is provided to separate the two phase flow into a gas flow and a liquid flow. A flow meter measures the flow rate of fluid in the gas flow or the liquid flow. | 01-20-2011 |
20110013160 | Optical element, exposure apparatus based on the use of the same, exposure method, and method for producing microdevice - An optical element includes a base material, a liquid-repellent member provided on at least a part of a surface of the base material, and a light-reducing member provided between the base material and the liquid-repellent member to protect the liquid-repellent member from radiation of light by reducing the light. The optical element is usable for a liquid immersion exposure apparatus for exposing a substrate through a liquid. It is possible to avoid any inflow of the liquid in a liquid immersion area into an unexpected place via the optical element. | 01-20-2011 |
20110013161 | Liquid recovery apparatus, exposure apparatus, exposure method, and device manufacturing method - An exposure apparatus exposes a substrate by projecting an image of a pattern onto the substrate via a projection optical system and a liquid that fills a space between the projection optical system and the substrate. The exposure apparatus has a liquid supply system having a supply path that supplies liquid to the space between the projection optical system and the substrate. A liquid recovery system having a recovery path recovers the liquid from the space during the exposure. The apparatus also includes a suction path with which at least part of the supply path of the liquid supply system is connected when the exposure apparatus functions abnormally. | 01-20-2011 |
20110019168 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus and method are disclosed in which measures are taken to account for dissolution of resist components, such as photo-acids or photo-acid generators, in immersion liquid. This may involve ensuring that each relevant part of the substrate is covered by liquid the same amount of time and/or by compensating for the differing amounts of time each relevant part of the substrate is covered by liquid by varying exposure intensity or duration based on the amount of time the substrate is covered by liquid. | 01-27-2011 |
20110019169 | PROJECTION OBJECTIVE OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A projection objective of a microlithographic projection exposure apparatus comprises a manipulator for reducing rotationally asymmetric image errors. The manipulator in turn contains a lens, an optical element and an interspace formed between the lens and the optical element, which can be filled with a liquid. At least one actuator acting exclusively on the lens is furthermore provided, which can generate a rotationally asymmetric deformation of the lens. | 01-27-2011 |
20110019170 | PROJECTION EXPOSURE APPARATUS AND STAGE UNIT, AND EXPOSURE METHOD - A projection exposure apparatus has a substrate table on which a substrate is mounted that can be moved holding the substrate, a position measuring system that measures positional information of the substrate table, and a correction unit that corrects positional deviation occurring in at least either the substrate or the substrate table due to supply of a liquid. In this case, the correction unit corrects the positional deviation occurring in at least either the substrate or the substrate table due to the supply of the liquid. Accordingly, exposure with high precision using a liquid immersion method is performed on the substrate. | 01-27-2011 |
20110025992 | OPTICAL SYSTEM HAVING AN OPTICAL ARRANGEMENT - An optical system, such as an illumination system, includes an optical arrangement having at least one optical element and at least one heat dissipation element configured to at least partially dissipate thermal energy generated in the optical element(s) to the outside environment of the optical system. The heat dissipation element(s) is(are) arranged without direct contact with the optical element(s). | 02-03-2011 |
20110025993 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A system to recycle immersion fluid in an immersion fluid lithographic apparatus is described. A recycling path comprising a plurality of parallel paths, each of which has its own parallel liquid treatment unit optimized to treat fluid which is directed through it, is disclosed. | 02-03-2011 |
20110025994 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A gas knife configured to dry a surface in an immersion lithographic apparatus is optimized to remove liquid by ensuring that a pressure gradient is built up in the liquid film on the surface being dried. | 02-03-2011 |
20110025995 | LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD AND A CONTROL SYSTEM - A capillary passage is formed between a substrate holder and an edge structure. Along the capillary passage are arranged a plurality of electrodes which, when charged, become liquidphilic. The electrodes may be used to split droplets of liquid and pump the liquid along the capillary passage. | 02-03-2011 |
20110025996 | Exposure apparatus, and device manufacturing method - An immersion liquid is supplied to a space between a projection system and a substrate, and a patterned beam of radiation is projected through the liquid onto a target portion of the substrate using the projection system. A liquid retaining member is replaced with a table member that holds the substrate at an opposite position to the projection system while the liquid is maintained in contact with the projection system so as to transit from a first state to a second state, the first state in which the liquid is maintained between the projection system and the liquid retaining member, the second state in which the liquid is maintained between the projection system and the table member. | 02-03-2011 |
20110025997 | Exposure apparatus, and device manufacturing method - An immersion liquid is supplied to a space between a projection system and a substrate, and a patterned beam of radiation is projected through the liquid onto a target portion of the substrate using the projection system. Substrates can be held on first and second tables. The first and second tables are moved together so as to transit from a first state to a second state, the first state in which the liquid immersion is maintained between the projection system and one of the first and second tables, the second state in which the liquid immersion is maintained between the projection system and the other one of the first and second tables. During the transition, the liquid immersion is maintained just below the projection system. | 02-03-2011 |
20110025998 | Stage drive method and stage unit, exposure apparatus, and device manufacturing method - A lithographic projection apparatus includes a substrate table to hold a substrate, a projection system to project a patterned beam of radiation onto the substrate and a liquid confinement structure to confine a liquid in a space between the projection system and the substrate, the substrate, the substrate table, or both, to form a part of a boundary of the space. In addition, a closing plate forms a part of a boundary of the space in place of the substrate, the substrate table, or both, when moved without substantially disturbing the liquid, the liquid confinement structure, or both. | 02-03-2011 |
20110025999 | Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine - An immersion exposure apparatus exposes a substrate with a light beam. The apparatus includes an optical member through which the light beam is irradiated onto the substrate, a substrate table which holds the substrate and is movable relative to the optical member, and a pad member which is movable relative to the substrate table and is positionable opposite to the optical member in place of the substrate table to substantially maintain an immersion liquid in a space under the optical member when the substrate table is moved away from under the optical member. The substrate table and the pad member are relatively tilted and/or moved in a vertical direction before the substrate table is moved away from under the optical member. | 02-03-2011 |
20110026000 | Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine - An immersion exposure apparatus exposes a substrate with a light beam. The apparatus includes an optical member through which the light beam is irradiated onto the substrate, a substrate table which holds the substrate and is movable relative to the optical member, and a pad member which is movable relative to the substrate table. The substrate table and the pad member are moved together during a transition from a first state to a second state, the first state being a state in which an immersion liquid is maintained in a space between the optical member and the substrate table, the second state being a state in which the immersion liquid is maintained in a space between the optical member and the pad member. The optical member is kept in contact with the immersion liquid during the transition. | 02-03-2011 |
20110032495 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A purge cover is equipped whose upper end is connected to an illumination unit and the lower end has a pair of plate sections facing an upper surface of a reticle stage and a reticle via a predetermined clearance. Therefore, gaseous circulation can be substantially blocked via the clearance between reticle stage RST and the plate sections. This allows a space which is almost airtight surrounded by the purge cover, the reticle stage and/or the reticle to be formed on the optical path of the illumination light that reaches the projection optical system from the illumination unit. Further, the space above which is almost airtight serves as a purge space that is purged with CDA and the like. | 02-10-2011 |
20110032496 | MOVABLE BODY APPARATUS, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - One pair each of a Y linear motor (a total of four) on the +X side and the −X side that drive a reticle stage include one pair each of a stator section (a total of four) and three each of a mover section (a total of six) on the +X side and the −X side. In this case, the three each of the mover sections on the +X side and the −X side configure one each of a mover. The mover section located in the center in the Z-axis direction of each of the movers is used in common by each pair of the Y linear motors. Therefore, the weight of the mover section (reticle stage) of the reticle stage device is reduced, which allows a higher acceleration. Further, the mover section located in the center in the Z-axis direction of each of the movers coincides with a neutral plane of the reticle stage. | 02-10-2011 |
20110032497 | CONDUIT SYSTEM FOR A LITHOGRAPHIC APPARATUS, LITHOGRAPHIC APPARATUS, PUMP, AND METHOD FOR SUBSTANTIALLY REDUCING VIBRATIONS IN A CONDUIT SYSTEM - A conduit system for a lithographic apparatus is disclosed, the conduit system including a conduit configured to guide a liquid or liquid-gas mixture, and a gas injection nozzle configured to introduce a gas in the liquid or liquid-gas mixture to at least partially absorb pressure peaks or waves in the liquid or liquid-gas mixture. In an embodiment, the gas injection nozzle may be arranged in a pump of the conduit system. The pump further includes a pump inlet, a pump outlet and a pump chamber between the pump inlet and the pump outlet arranged for compression of the liquid or liquid-gas mixture. | 02-10-2011 |
20110032498 | Exposure apparatus, exposure method, and method for producing device - An exposure apparatus exposes a substrate by projecting an image of a predetermined pattern through a liquid onto the substrate. The exposure apparatus includes a projection optical system which performs the projection, and a liquid supply mechanism which supplies the liquid onto the substrate to form a liquid immersion area on a part of the substrate. The liquid supply mechanism supplies the liquid onto the substrate simultaneously from a plurality of positions which are apart, in a plurality of different directions, from the projection area. The exposure apparatus is capable of forming the liquid immersion area stably and recovering the liquid satisfactorily. It is possible to perform the exposure process accurately while avoiding, for example, the outflow of the liquid to the surroundings. | 02-10-2011 |
20110037958 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an embodiment, a lithographic projection apparatus has an off-axis image field and a concave refractive lens as the final element of the projection system. The concave lens can be cut-away in parts not used optically to prevent bubbles from being trapped under the lens. | 02-17-2011 |
20110037959 | Environmental system including vacuum scavenge for an immersion lithography apparatus - A lithographic projection apparatus includes a liquid confinement structure extending along at least a part of a boundary of a space between a projection system and a substrate table, the space having a cross-sectional area smaller than the area of the substrate. The liquid confinement structure includes a first inlet to supply liquid, through which the patterned beam is projected, to the space, a first outlet to remove liquid after the liquid has passed under the projection system, a second inlet formed in a face of the structure, the face arranged to oppose a surface of the substrate, and located radially outward, with respect to an optical axis of the projection system, of the space to supply gas, and a second outlet formed in the face and located radially outward, with respect to an optical axis of the projection system, of the second inlet to remove gas. | 02-17-2011 |
20110037960 | LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD, CLEANING SYSTEM AND METHOD FOR CLEANING A PATTERNING DEVICE - A lithographic apparatus includes an illumination system configured to condition a beam of radiation, and a support structure configured to support a patterning device. The patterning device is configured to impart a pattern to the beam of radiation. The apparatus includes a patterning device cleaning system configured to provide an electrostatic force to contaminant particles that are on the patterning device and that are electrically charged by the beam of radiation, in order to remove the contaminant particles from the patterning device. | 02-17-2011 |
20110043774 | CLEANING MODULE, EUV LITHOGRAPHY DEVICE AND METHOD FOR THE CLEANING THEREOF - In order to clean optical components ( | 02-24-2011 |
20110051104 | Stage drive method and stage unit, exposure apparatus, and device manufacturing method - When a transition from a first state where one stage is positioned at a first area directly below projection optical system to which liquid is supplied to a state where the other stage-is positioned at the first area, both stages are simultaneously driven while a state where both stages are close together in the X-axis direction is maintained. Therefore, it becomes possible to make a transition from the first state to the second state in a state where liquid is supplied in the space between the projection optical system and the specific stage directly under the projection optical system. Accordingly, the time from the completion of exposure operation on one stage side until the exposure operation begins on the other stage side can be reduced, which allows processing with high throughput. Further, because the liquid can constantly exist on the image plane side of the projection optical system, generation of water marks on optical members of the projection optical system on the image plane side is prevented. | 03-03-2011 |
20110051105 | Stage drive method and stage unit, exposure apparatus, and device manufacturing method - A lithographic projection apparatus includes a substrate table to hold a substrate, a projection system to project a patterned beam of radiation onto the substrate and a liquid confinement structure to confine a liquid in a space between the projection system and the substrate, the substrate, the substrate table, or both, to form a part of a boundary of the space. In addition, a closing plate forms a part of a boundary of the space in place of the substrate, the substrate table, or both, when moved without substantially disturbing the liquid, the liquid confinement structure, or both. | 03-03-2011 |
20110051106 | Exposure apparatus and device manufacturing method - An exposure apparatus illuminates a pattern with an energy beam and transfers the pattern onto a substrate via a projection optical system. The exposure apparatus includes a substrate stage on which the substrate is mounted that moves within a two-dimensional plane holding the substrate. In addition, a supply mechanism supplies liquid to a space between the projection optical system and the substrate on the substrate stage. In addition, at least one bubble recovery mechanism recovers bubbles in the liquid in an upstream side of the liquid flow with respect to the projection optical system. | 03-03-2011 |
20110051107 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an immersion lithography apparatus, ultrasonic waves are used to atomize liquid on a surface of the substrate. | 03-03-2011 |
20110058147 | CLEANING MODULE AND EUV LITHOGRAPHY DEVICE WITH CLEANING MODULE - A cleaning module for an EUV lithography device with a supply ( | 03-10-2011 |
20110058148 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithography apparatus is disclosed having a liquid supply system configured to at least partially fill a space between a final element of a projection system and a substrate table, with a first liquid, and a measurement system configured to measure a location of each of a plurality of points on the substrate, the measurement system being arranged such that measurements take place through a second liquid, the second liquid not being supplied by the liquid supply system. | 03-10-2011 |
20110058149 | Stage drive method and stage unit, exposure apparatus, and device manufacturing method - When a transition from a first state where one stage is positioned at a first area directly below projection optical system to which liquid is supplied to a state where the other stage-is positioned at the first area, both stages are simultaneously driven while a state where both stages are close together in the X-axis direction is maintained. Therefore, it becomes possible to make a transition from the first state to the second state in a state where liquid is supplied in the space between the projection optical system and the specific stage directly under the projection optical system. Accordingly, the time from the completion of exposure operation on one stage side until the exposure operation begins on the other stage side can be reduced, which allows processing with high throughput. Further, because the liquid can constantly exist on the image plane side of the projection optical system, generation of water marks on optical members of the projection optical system on the image plane side is prevented. | 03-10-2011 |
20110063589 | Exposure apparatus and method for manufacturing device - An exposure apparatus which exposes a substrate by projecting an image of a pattern, via an projection optical system and a liquid of a liquid immersion area formed on the substrate, onto the substrate, includes a liquid supply mechanism having supply ports for supplying the liquid on both sides of a projection area respectively and capable of simultaneously supplying the liquid from the supply ports, the image of the pattern being projected onto the projection area. The liquid supply mechanism supplies the liquid from only one of the supply ports disposed on the both sides when the mechanism starts to supply the liquid. The liquid may be supplied while moving an object such as a substrate placed to face the projection optical system. Accordingly, an optical path space on the image side of the projection optical system can be filled with the liquid quickly while suppressing formation of air bubbles. | 03-17-2011 |
20110063590 | OPTICAL ELEMENT MODULE - An optical element module comprising a plurality of module components is provided. The module components comprise an optical element, an optical element holder and a contact element. The optical element has a first coefficient of thermal expansion. The optical element holder holds the optical element via the first contact element and has a second coefficient of thermal expansion, the second coefficient of thermal expansion being different from the first coefficient of thermal expansion. At least one of the module components is adapted to provide at least a reduction of forces introduced into the optical element upon a thermally induced position change in the relative position between the optical element and the optical element holder, the position change resulting from a temperature situation variation in a temperature situation of the plurality of module components. | 03-17-2011 |
20110069288 | Reticle Transport Apparatus, Exposure Apparatus, Reticle Transport Method, and Reticle Processing Method - A reticle transport apparatus transports a reticle to and from a processing atmosphere. A reticle loader loads the reticle into the processing atmosphere, with at least a portion of the reticle being covered by a cover. A cover manipulator, in the processing atmosphere, removes the reticle from the cover and transfers the cover, without the removed reticle, to the reticle loader, and the reticle loader unloads the cover from the processing atmosphere. A cover cleaner, outside of the processing atmosphere, cleans the cover. When processing involving the reticle is completed, the reticle is returned to the cleaned cover or is placed in a different cover. | 03-24-2011 |
20110069289 | LITHOGRAPHIC APPARATUS, COVERPLATE AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus, including: first and second objects which are spaced apart with a gap therebetween and on whose top surfaces immersion liquid is provided; and a gutter positioned under the gap and configured to collect any immersion liquid which passes through the gap, wherein an advancing contact angle of immersion liquid with surfaces of the first and second objects defining the gap is less than 30°. | 03-24-2011 |
20110069290 | CLEANING DEVICE, A LITHOGRAPHIC APPARATUS AND A LITHOGRAPHIC APPARATUS CLEANING METHOD - A cleaning tool to clean a surface of a liquid confinement system of an immersion lithographic apparatus is disclosed, the liquid confinement system having an aperture to allow passage of a beam of radiation therethrough of an immersion lithographic apparatus. The cleaning tool includes a sonic transducer, a reservoir configured to hold liquid between the sonic transducer and the surface to be cleaned, and a barrier positioned in the reservoir under the aperture to form, in use, a shield to sonic waves. | 03-24-2011 |
20110075118 | HEAT PIPE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is provided that includes a substrate holder configured to hold a substrate, and a heat pipe to maintain the substrate holder at a substantially uniform temperature. The heat pipe has a chamber containing a liquid reservoir and a vapor space, and a heating element at least partly in contact with liquid in the chamber. | 03-31-2011 |
20110075119 | Resist pattern forming method, semiconductor apparatus using said method, and exposure apparatus thereof - In immersion exposure, a resist pattern forming method suppressing resist pattern defects comprises mounting a substrate formed a resist film thereon and a reticle formed a pattern thereon onto an exposure apparatus, supplying a first chemical solution onto the resist film to selectively form a first liquid film in a local area on the resist film and draining the solution, the first liquid film having a flow and being formed between the resist film and a projection optical system, transferring the pattern of the reticle to the resist film through the first liquid film to form a latent image, supplying a second chemical solution onto the resist film to clean the resist film, heating the resist film, and developing the resist film to form a resist pattern from the resist film. | 03-31-2011 |
20110080567 | LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS - A cleaning liquid supply system is disclosed. The cleaning liquid supply system may supply an emulsified cleaning liquid to clean an immersion lithographic apparatus. A lithographic apparatus is also disclosed. | 04-07-2011 |
20110080568 | Immersion exposure apparatus and immersion exposure method, and device manufacturing method - An immersion type exposure apparatus comprises: an optical member from which an exposure beam is emitted; a first movable member that is movable while holding a substrate, in a predetermined region including a first region including a position facing the optical member and a second region different from the first region; a second movable member that is movable while holding the substrate independently from a first movable member, in a predetermined region including the first region and the second region; a first connection member that is releasably and alternately connected with the first movable member and the second movable member, and moves one movable member in the first region; a second connection member that is releasably and alternately connected with the first movable member and the second movable member, and moves the other movable member in the second region; and a third movable member that is provided on the first connection member and is movable to a position facing the optical member; and at least one of the first movable member, the second movable member, and the third movable member is moved to the position facing the optical member so that a beam path on the emitting side of the optical member is kept filled with a liquid. | 04-07-2011 |
20110080569 | OPTICAL ELEMENT AND METHOD - The disclosure relates to an optical element configure to at least partial spatially resolve correction of a wavefront aberration of an optical system (e.g., a projection exposure apparatus for microlithography) to which optical radiation can be applied, as well as related systems and methods. | 04-07-2011 |
20110090472 | FLUID HANDLING STRUCTURE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A fluid handling structure is provided for a lithographic apparatus having at a boundary between a space containing immersion fluid and a region external to the fluid handling structure, a plurality of openings arranged in a first line, a first gas knife device having an aperture in a second line, one or more openings in a third line and a second gas knife device having an aperture in a fourth line. | 04-21-2011 |
20110090473 | LITHOGRAPHIC APPARATUS - Liquid is supplied to a space between the projection system and the substrate by an inlet. In an embodiment, an overflow region removes liquid above a given level. The overflow region may be arranged above the inlet and thus the liquid may be constantly refreshed and the pressure in the liquid may remain substantially constant. | 04-21-2011 |
20110090474 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an immersion lithographic apparatus, bubble formation in immersion liquid is reduced or prevented by reducing a gap size or area on a substrate table and/or covering the gap. | 04-21-2011 |
20110096305 | SHUTTER MEMBER, A LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus that includes a substrate table, a fluid handling structure and a swap table. The substrate table is configured to support a substrate. The fluid handling structure is configured to supply and confine immersion liquid to a space defined between a projection system and the substrate table, the substrate, or both. The swap table has a shutter surface configured to be under the fluid handling structure during, for example, swap of the substrate on the substrate table. In use, a transfer surface between a surface of the substrate table and a surface of the swap table is moved under the fluid handling structure to help stop escaping immersion liquid. A shutter member and a method are also disclosed. | 04-28-2011 |
20110096306 | STAGE APPARATUS, EXPOSURE APPARATUS, DRIVING METHOD, EXPOSING METHOD, AND DEVICE FABRICATING METHOD - A stage apparatus includes a guide member that extends in first directions, that moves in second directions, which are substantially orthogonal to the first directions; two second moving bodies, which are provided along the guide member such that they are independently moveable in the first directions, that move in the second directions together with the guide member by the movement of the first moving body; and a holding member that holds an object and is supported by the two second moving bodies such that it is capable of moving within a two dimensional plane that includes at least the first directions and the second directions. | 04-28-2011 |
20110096307 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is disclosed in which at least a part of the liquid supply system (which provides liquid between the projection system and the substrate) is moveable in a plane substantially parallel to a top surface of the substrate during scanning. The part is moved to reduce the relative velocity between that part and the substrate so that the speed at which the substrate may be moved relative to the projection system may be increased. | 04-28-2011 |
20110096308 | APPARATUS COMPRISING A ROTATING CONTAMINANT TRAP - A contaminant trap apparatus arranged in a path of a radiation beam to trap contaminants emanating from a radiation source configured to produce the radiation beam is disclosed. The contaminant trap apparatus includes a rotor having a plurality of channel forming elements defining channels which are arranged substantially parallel to the direction of propagation of the radiation beam, the rotor including electrically chargeable material and arranged to be electrically charged as a result of the operation of the radiation source; and a bearing configured to rotatably hold the rotor with respect to a rotor carrying structure, wherein the apparatus is configured to (i) control or redirect an electrical discharge of the rotor, or (ii) suppress an electrical discharge of the rotor, or (iii) both (i) and (ii). | 04-28-2011 |
20110109887 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A method and apparatus for cleaning the inside of an immersion lithographic apparatus is disclosed. In particular, a liquid supply system of the lithographic apparatus may be used to introduce a cleaning fluid into a space between the projection system and the substrate table of the lithographic apparatus. Additionally or alternatively, a cleaning device may be provided on the substrate table and an ultrasonic emitter may be provided to create an ultrasonic cleaning liquid. | 05-12-2011 |
20110116059 | FILTER BOX, EXPOSURE APPARATUS, AND METHOD FOR PRODUCING DEVICE - A filter box which holds a chemical filter includes a box-shaped frame which holds the chemical filter and a guide groove which is provided on a side surface of the frame. The guide groove includes a first recess which is arranged between an upper end and a lower end of the side surface of the frame and which is communicated with a side end of the side surface; and a second recess which is communicated with the first recess and which extends toward the upper end of the frame. With the guide grooves, it is possible to exchange the filter efficiently and with high positioning precision. | 05-19-2011 |
20110116060 | LITHOGRAPHIC APPARATUS, REMOVABLE MEMBER AND DEVICE MANUFACTURING METHOD - A member is provided to prevent immersion liquid ingress to a gap between components or to adhere to at least one component to provide a surface to a feature of an immersion system. The member has a plastic sealing portion that is adhered to the component(s). The plastic sealing portion is opaque to DUV radiation. It may be resistant to degradation through exposure to DUV radiation. It may have a liquid phobic coating or property. | 05-19-2011 |
20110116061 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A substrate table of an immersion lithographic apparatus is disclosed which comprises a barrier configured to collect liquid. The barrier surrounds the substrate and is spaced apart from the substrate. In this way any liquid which is spilt from the liquid supply system can be collected to reduce the risk of contamination of delicate components of the lithographic projection apparatus. | 05-19-2011 |
20110122376 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus includes a support structure configured to hold a patterning device, the patterning device configured to pattern a beam of radiation according to a desired pattern; a substrate table configured to hold a substrate; a projection system configured to project the patterned beam onto a target portion of the substrate; a liquid supply system configured to provide liquid to a space between the projection system and the substrate; and a shutter configured to isolate the space from the substrate or a space to be occupied by a substrate. | 05-26-2011 |
20110122377 | Projection exposure apparatus, projection exposure method, and method for producing device - A projection exposure apparatus transfers a pattern formed on a mask onto a substrate through a liquid. The projection exposure apparatus includes an optical member which projects an image of the pattern onto the substrate and an electricity removal device which removes electricity from the liquid to be supplied to a space between the optical member and a surface of the substrate by adding an additive to the liquid to suppress the liquid from being charged. | 05-26-2011 |
20110122378 | FILTER APPARATUS, FILTER ACCOMMODATING METHOD, EXPOSURE APPARATUS AND METHOD FOR PRODUCING DEVICE - A filter apparatus which holds a chemical filter includes a frame which holds the chemical filter and which has a guide groove provided on a side surface of the frame; and a casing which accommodates the frame. The guide groove has a first groove which is communicated with a back surface of the frame, and a second groove which is communicated with the first groove and which extends from a front surface of the frame toward an upper end thereof. The casing has a shaft member which is engageable with the first groove to support the frame and which is engageable with the second groove to release the frame from being supported. It is possible to install the filter efficiently so that the filter can be positioned with ease, and to unload the filter efficiently. | 05-26-2011 |
20110122379 | FILTER HOLDING APPARATUS, EXPOSURE APPARATUS, AND METHOD FOR PRODUCING DEVICE - A filter holding apparatus holding a first chemical filter and a second chemical filter, includes a first frame which holds the first chemical filter and which has a first guide groove provided on a side surface of the first frame; a second frame which holds the second chemical filter and which has a second guide groove provided on a side surface of the second frame and which is arranged while being overlapped with the first frame; and each of the first and second guide grooves has a first groove which is communicated with a back surface of one of the first and second frames, and a second groove which is communicated with the first groove and which extends toward an upper end of one of the first and second frames; wherein a distance between the second groove and the back surface in the first frame is different from a distance between the second groove and the back surface in the second frame. A plurality of filters can be installed or exchanged efficiently and in such a manner that the filters are positioned easily. | 05-26-2011 |
20110122380 | IMMERSION PHOTOLITHOGRAPHY SYSTEM AND METHOD USING INVERTED WAFER-PROJECTION OPTICS INTERFACE - A liquid immersion photolithography system includes an exposure system that exposes a substrate with electromagnetic radiation, and also includes an optical system that images the electromagnetic radiation on the substrate. A liquid is between the optical system and the substrate. The projection optical system is positioned below the substrate. | 05-26-2011 |
20110128513 | Cooling systems and methods for grazing incidence EUV lightography collectors - A cooling system ( | 06-02-2011 |
20110128514 | Optical element and projection exposure apparatus based on use of the optical element - A lithographic projection apparatus projects a pattern from a patterning device onto a substrate using a projection system and includes a liquid supply system configured to at least partly fill a space between the projection system and the substrate with a liquid. An element of the projection system through which the pattern is projected has, on a surface configured to be in contact with the liquid, a protective coating which is substantially insoluble in the liquid. | 06-02-2011 |
20110128515 | LITHOGRAPHIC APPARATUS AND SEALING DEVICE FOR A LITHOGRAPHIC APPARATUS - An apparatus includes a first body; a second body that is moveable relative to the first body; a seal arranged between the first and the second body such that a first space is separated from a second space by the first body, the second body and the seal, wherein the seal is located at a distance from the first body; a fluid supply arranged to create a fluid flow between the first body and the seal to create a non-contact seal between the first and the second space so as to enable movement between the first and the second body, and a controller configured to control the distance during movement of the first and the second body relative to each other. | 06-02-2011 |
20110128516 | LITHOGRAPHIC APPARATUS AND SURFACE CLEANING METHOD - An apparatus and method for cleaning a contaminated surface of a lithographic apparatus are provided. A liquid confinement structure comprises at least two openings used to supply and extract liquid to a gap below the structure. The direction of flow between the openings can be switched. Liquid may be supplied to the gap radially outward of an opening adapted for dual flow. Supply and extraction lines to respectively supply liquid to and extract liquid from the liquid confinement structure have an inner surface that is resistant to corrosion by an organic liquid. A corrosive cleaning fluid can be used to clean photo resist contamination. | 06-02-2011 |
20110128517 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An article support is constructed to support an article. The article support includes a back fill structure constructed to supply and extract a thermal buffering fluid to and from the article support. The back fill structure is connected to an extraction duct that is constructed and arranged to extract at least a gas phase of the thermal buffering fluid from the back fill structure. The back fill structure is connected to a supply duct, constructed and arranged to supply a liquid phase of the thermal buffering fluid to the back fill structure. The back fill structure is arranged to have the thermal buffering fluid brought in a combined liquid and gas phase to thermally connect with the article. | 06-02-2011 |
20110134399 | Lithographic Projection Apparatus - A lithographic apparatus arranged to transfer a pattern onto a substrate is disclosed. The lithographic apparatus comprises a power supply and an electrical connector. The electrical connector electrically connects the power supply to another component of the lithographic apparatus. The electrical connector comprises a laminate that comprises, in order, a first conducting layer, a first flexible insulating layer, a conductor configured to carry an electrical current, a second flexible insulating layer and a second conducting layer. | 06-09-2011 |
20110134400 | EXPOSURE APPARATUS, LIQUID IMMERSION MEMBER, AND DEVICE MANUFACTURING METHOD - Liquid is held between a tip lens of a projection optical system and a wafer on a wafer stage, using a nozzle member which has shape enclosing an optical path of an illumination light, and a bottom surface to which wafer W is placed facing via a predetermined clearance that has an annular recess section formed having multiple projecting sections. This prevents adhesion of contamination and liquid from remaining that become factors of defects of a pattern formed on a wafer. The nozzle member preferably has an annular shaped inclined surface whose gap with the wafer surface becomes smaller from the inner side to the outer side, formed on an inner bottom surface facing the wafer of an outer recess section formed on the bottom surface of the nozzle member. | 06-09-2011 |
20110134401 | LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is disclosed that includes a fluid handling system configured to confine immersion liquid to a localized space between a final element of a projection system and a substrate and/or table and a gas supplying device configured to supply gas with a solubility in immersion liquid of greater than 5×10 | 06-09-2011 |
20110134402 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is provided in which exposure is carried out by projecting through an aqueous solution of alkali metal halide(s), the solution being in contact with the substrate to be exposed. | 06-09-2011 |
20110149255 | Lithographic Apparatus and Method - A lithographic apparatus is provided with a sensor. The sensor comprises a frame that defines a space that is crossed multiple times by wire. Detection electronics are connected to the wire and configured to detect a change of temperature of the wire due to infrared radiation being incident upon the wire. The detection electronics are further configured to provide an output signal in the event that a change of temperature of the wire is detected. | 06-23-2011 |
20110149256 | OBJECT WITH AN IMPROVED SUITABILITY FOR A PLASMA CLEANING TREATMENT - An object suitable for a plasma cleaning treatment in a plasma cleaning device, the object including a first outer surface area; a second outer surface area, wherein the object is constructed and arranged to cooperate with a removable cover such that the cover is connectable to the object to cover the second outer surface area, and wherein the object connected with the removable cover is adapted to be cleaned in the plasma cleaning device such that the plasma cleaning device is not exposed to particles of the second outer surface area and wherein the first outer surface area is cleaned in the plasma cleaning device. | 06-23-2011 |
20110149257 | LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is disclosed that has a fluid handling system configured to provide immersion liquid between a final element of a projection system and a surface which comprises, in cross-section, a feature, and an adjustment fluid source configured to locally change the composition of the immersion liquid to cause a local decrease in surface tension of the immersion liquid at least when a meniscus of the immersion liquid contacts the feature. | 06-23-2011 |
20110149258 | LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is disclosed that includes a table having a surface and a sensor, or a target for a sensor, or both, the sensor and/or target having a first area which is lyophobic to immersion liquid and a second area which is lyophilic to immersion liquid, and a liquid displacement device configured to displace liquid on the sensor and/or target, the liquid displacement device comprising a gas outlet opening configured to direct a gas flow toward the first and second areas, wherein a property of a part of the gas flow directed to the first area is different to a property of a part of the gas flow directed to the second area. | 06-23-2011 |
20110149259 | LITHOGRAPHIC APPARATUS - A container is provided for use within a lithographic apparatus. The container is configured to house at least one component of the lithographic apparatus within an internal space which is at least partially filled with a packing material that includes a plurality of gas cells. | 06-23-2011 |
20110157567 | LIQUID IMMERSION SCANNING EXPOSURE SYSTEM USING AN IMMERSION LIQUID CONFINED WITHIN A LENS HOOD - A liquid immersion scanning exposure system utilizes an immersion liquid confined within a watertight lens hood having a base portion formed from a solid optical element. During operation, a bottom portion of a lens assembly is disposed within the immersion liquid and the solid optical element is placed upon a photoresist material or layer (to be patterned). The lens assembly moves laterally through the immersion liquid parallel to the photoresist material. Because the solid optical element separates the immersion liquid from the photoresist material and does not move relative to the photoresist material, the photoresist material does not contact with the immersion liquid and the solid optical element and is not susceptible to damage or scratching by the solid optical element. | 06-30-2011 |
20110157568 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A manifold is provided between an outlet of a fluid supply system for an immersion lithographic apparatus and a separator. The manifold is provided with a pressure sensor which passes the measured pressure in the manifold to a mass flow controller. The mass flow controller controls a leak flow into the manifold based on the measured pressure in the manifold so as to maintain a desired pressure in the manifold. | 06-30-2011 |
20110170076 | IMMERSION LITHOGRAPHY APPARATUS AND METHOD FOR CLEANING IMMERSION LITHOGRAPHY APPARATUS - In one embodiment, an immersion lithography apparatus has a substrate stage that holds a workpiece and is configured to be possible to take an exposure position under a light projection unit and to keep away from the position. A cleaning stage has a reservoir of a cleaning or immersion liquid, and is configured to be possible to take the exposure position by replacing the substrate stage. An immersion liquid supply system supplies the immersion liquid between the light projection unit and the workpiece or in the reservoir, and an immersion liquid recovery system recovers the immersion liquid. A cleaning liquid supply system supplies the cleaning liquid in the reservoir to include contact regions to the immersion liquid, and a cleaning liquid recovery system recovers the cleaning liquid. A concentration measuring instrument is installed on the immersion or cleaning liquid recovery system, and measures a concentration of the cleaning liquid. | 07-14-2011 |
20110170077 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus is disclosed in which a space between the projection system and the substrate is filled with a liquid. An edge seal member at least partly surrounds the substrate or other object on a substrate table to prevent liquid loss when edge portions of the substrate or other object are, for example, imaged or illuminated. | 07-14-2011 |
20110170078 | Projection System and Lithographic Apparatus - A projection system (PS) is provided which includes, in an embodiment, two frames. The optical elements of the projection system are mounted on a first frame ( | 07-14-2011 |
20110170079 | RADIATION SYSTEM AND LITHOGRAPHIC APPARATUS - A radiation system is configured to generate a radiation beam. The radiation system includes a radiation source configured to generate a plasma that emits radiation and debris, and a radiation collector configured to direct collected radiation to a radiation beam emission aperture. A magnetic field generator is configured to generate a magnetic field with a gradient in magnetic field strength to direct the plasma away from the radiation collector. | 07-14-2011 |
20110170080 | Projection exposure apparatus, cleaning and maintenance methods of a projection exposure apparatus, and device manufacturing method - A projection exposure apparatus that exposes a substrate with a liquid interposed between a surface of the substrate and an optical element on the substrate side of a projection optical system; includes liquid supply and discharge mechanisms, which supply the liquid via a liquid supply tube as they recover the liquid via a liquid recovery tube, and an adhesion preventing mechanism that prevents an adhesion of impurities on member forming a flow path for the liquid. | 07-14-2011 |
20110176121 | LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD AND COMPUTER READABLE MEDIUM - In a lithographic apparatus, a part of a reflector is heated and cooled. The rate of heating and/or the rate of cooling is adjusted to adjust the temperature of the part. The change in temperature of the part exerts a force on the reflector, which changes its shape. | 07-21-2011 |
20110181848 | EXTREME UV RADIATION GENERATING DEVICE COMPRISING A CONTAMINATION CAPTOR - The invention relates to an improved EUV generating device having a contamination captor for “catching” contamination and/or debris caused by corrosion or otherwise unwanted reactions of the tin bath. | 07-28-2011 |
20110181849 | LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is provided having a substrate table including a drain configured to receive immersion fluid which leaks into a gap between an edge of a substrate on the substrate table and an edge of a recess in which the substrate is located. A thermal conditioning system is provided to thermally condition at least the portion of the recess supporting the substrate by directing one or more jets of fluid onto a reverse side of the section supporting the substrate. | 07-28-2011 |
20110181850 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system of a microlithographic projection exposure apparatus includes a primary light source, a system pupil surface and a mirror array. The mirror array is arranged between the primary light source and the system pupil surface. The mirror array includes a plurality of adaptive mirror elements. Each mirror element includes a mirror support and a reflective coating. Each mirror element is configured to direct light produced by the primary light source towards the system pupil surface. The mirror elements can be tiltably mounted with respect to a support structure. The mirror elements include structures having a different coefficient of thermal expansion and being fixedly attached to one another. A temperature control device is configured to variably modify the temperature distribution within the structures to change the shape of the mirror elements. | 07-28-2011 |
20110181851 | TEMPERATURE-CONTROL DEVICE FOR AN OPTICAL ASSEMBLY - A temperature-control device is used for controlling the temperature of an optical assembly with at least one optical body, the temperature of which is to be controlled, with at least one optical surface which can be acted upon by a heat flow. The temperature-control device has a heat sink to receive a heat flow, which is emitted by the optical body or a transmission body which is in thermal connection with the optical body. The heat sink is arranged adjacent to a peripheral region of the optical surface. The temperature-control device has a heating mechanism with at least one heating body, which is arranged adjacent to the optical body. The heating body is connected via a physical heat bridge to the heat sink. | 07-28-2011 |
20110181852 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A microlithographic projection exposure apparatus has a mirror array having a base body and a plurality of mirror units. Each mirror unit includes a mirror and a solid-state articulation, which has at least one articulation part that connects the mirror to the base body. A control device makes it possible to modify the alignment of the respective mirror relative to the base body. Mutually opposing surfaces of the mirror and of the base body, or of a mirror support body connected to it, are designed as corresponding glide surfaces of a sliding bearing. | 07-28-2011 |
20110188011 | PARTICLE CLEANING OF OPTICAL ELEMENTS FOR MICROLITHOGRAPHY - An optical assembly is mounted in a projection exposure apparatus ( | 08-04-2011 |
20110188012 | LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - In a liquid confinement structure of an immersion lithographic apparatus an elongate continuous opening forms an outlet for supplying liquid to a space beneath the projection system. The elongate slit forms a region of high shear and pressure gradient that deflects bubbles away from the image field. | 08-04-2011 |
20110188013 | LITHOGRAPHIC APPARATUS AND CONTAMINATION REMOVAL OR PREVENTION METHOD - An immersion lithographic apparatus is cleaned by use of a cleaning liquid consisting essentially of ultra-pure water and (a) a mixture of hydrogen peroxide and ozone, or (b) hydrogen peroxide at a concentration of up to 5%, or (c) ozone at a concentration of up to 50 ppm, or (d) oxygen at concentration of up to 10 ppm, or (e) any combination selected from (a)-(d). | 08-04-2011 |
20110188014 | RADIATION SOURCE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a radiation source configured to produce extreme ultraviolet radiation, the radiation source including a chamber in which a plasma is generated; a collector mirror configured to reflect radiation emitted by the plasma; and a debris mitigation system including a gas supply system configured to supply a first gas flow toward the plasma, the first gas flow being selected to thermalize debris generated by the plasma, and a plurality of gas manifolds arranged at a location proximate the collector mirror, the gas manifolds configured to supply a second gas flow in the chamber, the second gas flow being directed toward the plasma to prevent thermalized debris from depositing on the collector mirror. | 08-04-2011 |
20110188015 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus is disclosed in which measures are taken to prevent or reduce the presence of bubbles in liquid through which the projection beam radiates. This may be done, for example, by ensuring that a gap between a substrate and a substrate table is filled with immersion liquid or by causing a localized flow radially outwardly from the optical axis in the vicinity of the edge of the substrate. | 08-04-2011 |
20110194084 | FLUID HANDLING STRUCTURE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A fluid handling structure for a lithographic apparatus, the fluid handling structure successively having, at a boundary from a space configured to comprise immersion fluid to a region external to the fluid handling structure: an extractor having at least one opening arranged in a first line that, in use, is directed towards a substrate and/or a table; and a liquid manipulator on a surface that, in use, faces the substrate and/or table to reduce the chance of droplets on the surface from coalescing. | 08-11-2011 |
20110194085 | Lithographic Apparatus, and Motor Cooling Device - A lithographic device includes a cooling device for removing heat from a motor. The cooling device has a cooling element provided in thermal contact with at least part of the motor. The cooling device further has a cooling duct assembly with a supply duct to supply a cooling fluid to the cooling element, and a discharge duct to discharge the cooling fluid from the cooling element. A pump causes the cooling fluid to flow through at least part of the cooling duct assembly. A flow control device controls a flow rate of the cooling fluid through at least part of the cooling duct assembly, to maintain a predetermined average temperature of the cooling fluid in the cooling element. | 08-11-2011 |
20110199591 | EXPOSURE APPARATUS, EXPOSING METHOD, MAINTENANCE METHOD AND DEVICE FABRICATING METHOD - An exposure apparatus exposes a substrate with exposure light, which transits a first liquid. The exposure apparatus comprises: an optical member, which has an emergent surface wherefrom the exposure light emerges; a liquid immersion member, which at least partly surrounds an optical path of the exposure light emerging from the emergent surface, has a lower surface that the substrate opposes during an exposure of the substrate, and holds the first liquid between the substrate and at least part of the lower surface; and a plate member, which has a first surface and a second surface that faces the opposite direction to the first surface and which is capable of moving to a position at which it opposes the lower surface; wherein, cleaning is performed in a state wherein the first surface opposes the lower surface. | 08-18-2011 |
20110199592 | LITHOGRAPHIC APPARATUS, REMOVABLE MEMBER AND DEVICE MANUFACTURING METHOD - A sealing member is provided to prevent immersion liquid ingress to a gap between components. The sealing member has a plastic or polymer sealing portion that is adhered to the components forming the gap being sealed. The sealing member is constructed so as to reduce the force-coupling, in particular the time-related force-coupling, between the components being sealed. | 08-18-2011 |
20110199593 | LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A meniscus pinning device has a plurality of openings through which liquid and gas from the environment are extracted. The openings are of an intermediate size, having a maximum cross-sectional dimension (e.g., diameter) in the range of from about 75 μm to about 150 μm. | 08-18-2011 |
20110199594 | Exposure apparatus and method for producing device - An exposure apparatus includes an optical element via which a patterned beam is projected onto a substrate through exposure liquid filled in a space between the optical element and the substrate. The apparatus also includes a member having a flow passage in which exposure liquid flows, the flow passage being in fluidic communication with the space. The apparatus also includes a cleaning system which cleans the member. | 08-18-2011 |
20110205506 | Stress-decoupling devices and methods for cooled mirror systems - A stress-decoupling device and methods of using same in a cooled grazing-incidence collector (GIC) mirror system are disclosed. A method includes providing a cooled GIC shell, providing input and output primary cooling-fluid manifolds, and fluidly connecting the cooled GIC shell to the input and output primary cooling-fluid manifolds through respective stress-decoupling devices. An exemplary stress-decoupling device includes inner and outer bellows that define a sealed cavity filled with a gas. An expansion-limiting member within the sealed cavity limits the expansion of the inner bellows due to the pressure of the cooling fluid flowing therethrough. The stress-decoupling device reduces or prevents the communication of stress from parts of the GIC mirror system to the GIC shells. Stress-decoupling systems and methods for a cooled spider as used in a GIC mirror system are also disclosed. | 08-25-2011 |
20110205507 | DEVICE FOR DAMPING VIBRATIONS IN PROJECTION EXPOSURE APPARATUSES FOR SEMICONDUCTOR LITHOGRAPHY - A changeable assembly for a projection exposure apparatus for semiconductor lithography contains at least one damping element. Projection exposure apparatus for semiconductor lithography and measuring assemblies for a projection exposure apparatus for semiconductor lithography can include at least one sensor for detecting parameters and vibrations of the projection exposure apparatus, wherein the measuring assembly is embodied in such a way that it can be inserted into an exchange opening, provided for an optical element, in the projection exposure apparatus. | 08-25-2011 |
20110211178 | TEMPERATURE-CONTROLLED HOLDING DEVICES FOR PLANAR ARTICLES - An exemplary apparatus includes a controllably movable body, a holding device, and a coolant circulation device. The body comprises a wall including a planar contact surface that receives the reverse surface of the article. The wall co-extends with at least a heat-receiving area of the utility surface whenever the article is being held by the body. The wall also includes a second surface separated from but proximal to the contact surface, and is thermally conductive from the contact surface to the second surface. The holding device holds the article to the contact surface with the reverse surface contacting the contact surface. The coolant circulation device delivers flow of a coolant fluid to the second surface to urge conduction of heat from the contact surface to the second surface. The holding device and coolant-circulation device operate in concert to actively control shape of the article being held by the apparatus. | 09-01-2011 |
20110211179 | DETECTION OF CONTAMINATING SUBSTANCES IN AN EUV LITHOGRAPHY APPARATUS - An EUV (extreme ultraviolet) lithography apparatus ( | 09-01-2011 |
20110211180 | OPTICAL COMPONENT HAVING AN IMPROVED TRANSIENT THERMAL BEHAVIOR AND METHOD FOR IMPROVING THE TRANSIENT THERMAL BEHAVIOR OF AN OPTICAL COMPONENT - An optical component includes an optical element, a mount for the optical element, and a temperature control device configured to control a temperature of a part of the mount based on at least one parameter selected from the group consisting of a coefficient of expansion of a substrate of the optical element, and a coefficient of expansion of a material of the mount. | 09-01-2011 |
20110216292 | LITHOGRAPHIC APPARATUS AND A METHOD OF MANUFACTURING A DEVICE USING A LITHOGRAPHIC APPARATUS - A liquid handling structure for a lithographic apparatus comprises a droplet controller configured to allow a droplet of immersion liquid to be lost from the structure and to prevent the droplet from colliding with the meniscus of the confined immersion liquid. The droplet controller may comprise gas knives arranged to overlap to block an incoming droplet. There may be extraction holes lined up with gaps between gas knives to extract liquid that passes through the gap. A droplet is allowed to escape through the gaps. | 09-08-2011 |
20110222030 | IMMERSION LITHOGRAPHIC APPARATUSES - Apparatuses for specially designed gradient immersion lithography are presented. The gradient immersion lithographic apparatus includes a radiation system providing a patterned beam of radiation, a substrate table with a substrate structure held thereon, a projection system with an optical lens element arranged to project the patterned beam of radiation onto the substrate structure, multiple layers of media of gases, liquids, or liquid crystals partitioned by moveable plates arranged in sequence between the projection system and the substrate structure, and a controller for displacement of the moveable plates to adjust relative thicknesses of the multiple layers of media. | 09-15-2011 |
20110222031 | LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, LIQUID RECOVERING METHOD, DEVICE FABRICATING METHOD, PROGRAM, AND STORAGE MEDIUM - A liquid immersion member can form an immersion space such that an optical path of exposure light is filled with a liquid. The liquid immersion member comprises: a recovery port, which recovers at least some of the liquid on an object disposed such that it faces an emergent surface wherefrom the exposure light emerges; a recovery passageway, wherein flows the liquid recovered via the recovery port; a first suction port, which faces the recovery passageway and suctions only a gas from the recovery passageway; and a second suction port, which faces the recovery passageway and suctions the liquid from the recovery passageway | 09-15-2011 |
20110222032 | LITHOGRAPHIC APPARATUS AND METHOD - A lithographic apparatus is disclosed that includes a substrate table configured to support a substrate on a substrate supporting area and a heater and/or temperature sensor on a surface adjacent the substrate supporting area. | 09-15-2011 |
20110222033 | LITHOGRAPHIC APPARATUS AND METHOD - A lithographic apparatus is disclosed that includes a substrate table configured to support a substrate on a substrate supporting area and a heater and/or temperature sensor on a surface adjacent the substrate supporting area. | 09-15-2011 |
20110222034 | LITHOGRAPHIC APPARATUS AND LITHOGRAPHIC APPARATUS CLEANING METHOD - An immersion lithographic projection apparatus having a megasonic transducer configured to clean a surface and a method of using megasonic waves to clean a surface of an immersion lithographic projection apparatus are disclosed. | 09-15-2011 |
20110222035 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus with a cover plate formed separately from a substrate table and means for stabilizing a temperature of the substrate table by controlling the temperature of the cover plate is disclosed. A lithographic apparatus with thermal insulation provided between a cover plate and a substrate table so that the cover plate acts as a thermal shield for the substrate table is disclosed. A lithographic apparatus comprising means to determine a substrate table distortion and improve position control of a substrate by reference to the substrate table distortion is disclosed. | 09-15-2011 |
20110222036 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus with a cover plate formed separately from a substrate table and means for stabilizing a temperature of the substrate table by controlling the temperature of the cover plate is disclosed. A lithographic apparatus with thermal insulation provided between a cover plate and a substrate table so that the cover plate acts as a thermal shield for the substrate table is disclosed. A lithographic apparatus comprising means to determine a substrate table distortion and improve position control of a substrate by reference to the substrate table distortion is disclosed. | 09-15-2011 |
20110228238 | LITHOGRAPHIC APPARATUS, COVER FOR USE IN A LITHOGRAPHIC APPARATUS AND METHOD FOR DESIGNING A COVER FOR USE IN A LITHOGRAPHIC APPARATUS - A lithographic apparatus having a fluid handling structure configured to contain immersion fluid in a space adjacent to an upper surface of a substrate table and/or a substrate located in a recess of the substrate table, a cover including a planar main body that, in use, extends around a substrate from the upper surface to a peripheral section of an upper major face of the substrate in order to cover a gap between an edge of the recess and an edge of the substrate, and an immersion fluid film disruptor configured to disrupt the formation of a film of immersion fluid between an edge of the cover and immersion fluid contained by the fluid handling structure during movement of the substrate table relative to the fluid handling structure. | 09-22-2011 |
20110228239 | LITHOGRAPHIC APPARATUS, AN ILLUMINATION SYSTEM, A PROJECTION SYSTEM AND A METHOD OF MANUFACTURING A DEVICE USING A LITHOGRAPHIC APPARATUS - A gas curtain is provided to separate a component of a lithographic apparatus from contaminated gas. The gas curtain is supplied by an opening. The opening is at a boundary of a protection environment with which a surface of the component comes into contact. The gas curtain may separate the component from a moving part of the apparatus. | 09-22-2011 |
20110228240 | Stage apparatus and exposure apparatus - A stage apparatus includes: a moving stage, which moves along a movement plane; a first moving table, which holds a specimen while being able to move with respect to the moving stage; and a second moving table, which is provided on the moving stage and, when the first moving table has moved from a first position to a second position, is positioned at the first position. | 09-22-2011 |
20110228241 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic projection apparatus, a structure surrounds a space between the projection system and a substrate table of the lithographic projection apparatus. A gas seal is formed between said structure and the surface of said substrate to contain liquid in the space. | 09-22-2011 |
20110235006 | Exposure apparatus, exposure method, method for manufacturing device - An exposure method and apparatus exposes a substrate via a projection optical system and a liquid. The liquid is circulated in a circulation path. At least a part of the liquid in the circulation path is supplied through a discharge path to a space below the projection optical system, the discharge path being connected to the circulation path. The substrate is exposed via the projection optical system and the liquid. | 09-29-2011 |
20110235007 | Environmental system including a transport region for an immersion lithography apparatus - A lithographic projection apparatus that is arranged to project a pattern from a patterning device onto a substrate using a projection system has a liquid supply system arranged to supply a liquid to a space between the projection system and the substrate. The apparatus also includes a liquid collecting system that includes a liquid collection member having a permeable member through which a liquid is collected from a surface of an object opposite to the liquid collection member, wherein the permeable member has a plurality of passages that generate a capillary force. | 09-29-2011 |
20110235008 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is disclosed in which a gas knife is shaped and a liquid removal device is positioned to improve removal of liquid from the surface of the substrate. | 09-29-2011 |
20110242511 | Apparatus and methods for inhibiting immersion liquid from flowing below a sustrate - A substrate stage is provided with an immersion liquid collection member that surrounds at least an alignment feature of the substrate stage used to align the substrate on the stage by engaging alignment structure of the substrate. The collection member is located at least partly below the periphery of the substrate held by the substrate holding member of the substrate stage. The collection member has an uppermost liquid-receiving surface that preferably is spaced below a lowermost surface of the substrate when the substrate is held by the substrate holding member. The collection member collects liquid that flows along the alignment feature so as to prevent that immersion liquid from flowing along the under-surface of the substrate. | 10-06-2011 |
20110242512 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Embodiments of a drain in a lithographic projection apparatus are described that have, for example, a feature which reduces inflow of gas into the drain during a period when no liquid is present in the drain. In one example, a passive liquid removal mechanism is provided such that the pressure of gas in the drain is equal to the ambient gas pressure and in another embodiment a flap is provided to close off a chamber during times when no liquid needs removing. | 10-06-2011 |
20110249245 | METHOD OF COOLING AN OPTICAL ELEMENT, LITHOGRAPHIC APPARATUS AND METHOD FOR MANUFACTURING A DEVICE - A method of thermally conditioning an optical element operating in a vacuum environment. The optical element includes a first body having at least one optical surface and at least one heat transfer surface. The first body is dynamically controlled in position and/or orientation. The method includes controlling a temperature of a second body to a desired temperature, the second body including a second heat transfer surface; positioning the second body adjacent the first body and dynamically controlling the second body in position and/or orientation so as to maintain the first and second heat transfer surfaces in a substantially constant arrangement without contact between the bodies; and delivering a gas as a heat transfer medium into a heat transfer space defined by the first and second heat transfer surfaces, while controlling the pressure of the gas in the heat transfer space to between about 30 Pa and about 300 Pa. | 10-13-2011 |
20110249246 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is disclosed including a liquid supply system configured to at least partly fill a space between the projection system and the substrate with a liquid, an outlet configured to remove a mixture of liquid and gas passing through a gap between a liquid confinement structure of the liquid supply system and the substrate, and an evacuation system configured to draw the mixture through the outlet, the evacuation system having a separator tank arranged to separate liquid from gas in the mixture and a separator tank pressure controller, connected to a non-liquid-filled region of the separator tank, configured to maintain a stable pressure within the non-liquid-filled region. | 10-13-2011 |
20110255062 | FLUID HANDLING STRUCTURE, LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A fluid handling structure configured to supply immersion liquid to a space defined between a projection system and a facing surface facing the fluid handling structure is disclosed. An undersurface of the fluid handling structure has a supply opening configured to supply fluid toward the facing surface, a plurality of extraction openings configured to remove fluid from between the fluid handling structure and the facing surface, and a protrusion between the supply opening and the extraction openings. | 10-20-2011 |
20110261329 | METHOD AND SYSTEM FOR DETERMINING A SUPPRESSION FACTOR OF A SUPPRESSION SYSTEM AND A LITHOGRAPHIC APPARATUS - The invention relates to a method for determining a suppression factor of a suppression system. The suppression system is arranged to suppress migration of a contaminant gas out of a first system. The suppression factor is an indication of the performance of the suppression system. The method includes introducing a tracer gas in the sub-system, providing a detection system configured to detect the amount of tracer gas that has migrated out of the first system, determining a first suppression factor for the suppression system for the tracer gas. The method further includes determining a second suppression factor for the suppression system for the contaminant gas based on the first suppression factor. | 10-27-2011 |
20110261330 | Substrate conveyance device and substrate conveyance method, exposure apparatus and exposure apparatus and exposure method, device manufacturing method - A lithographic projection apparatus includes a substrate table configured to hold a substrate, a projection system arranged to project a patterned beam of radiation onto the substrate, a liquid supply system configured to supply liquid to a space between the projection system and the substrate, and a residual liquid detector configured to detect liquid remaining on the substrate and/or the substrate table after an exposure is completed. A device manufacturing method includes projecting, using a projection system of a lithographic apparatus, a patterned beam of radiation through a liquid onto a substrate, the substrate being held by a substrate table, and, after the projecting is complete, detecting residual liquid on the substrate and/or the substrate table. | 10-27-2011 |
20110261331 | Exposure apparatus and device manufacturing method - A lithographic apparatus includes a substrate table which holds a substrate, a projection optical system which projects a patterned beam of radiation onto the substrate, a liquid supply member which supplies a liquid to a space between the projection optical system and the substrate, and a liquid detector which detects a liquid remaining on a surface of an exposed substrate before the exposed substrate is unloaded from the substrate table. | 10-27-2011 |
20110261332 | FLUID HANDLING STRUCTURE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A fluid handling structure for a lithographic apparatus is disclosed, the fluid handling structure successively has, at a boundary from a space configured to contain immersion fluid to a region external to the fluid handling structure: an elongate opening or a plurality of openings arranged in a first line that, in use, are directed towards a substrate and/or a substrate table configured to support the substrate; a gas knife device having an elongate aperture in a second line; and an elongate opening or a plurality of openings adjacent the gas knife device. | 10-27-2011 |
20110261333 | EXPOSURE APPARATUS, DEVICE MANUFACTURING METHOD USING SAME, AND GAS SUPPLY DEVICE - The exposure apparatus includes a purge member that includes a humidifier that humidifies supplied gas; a first regulator that regulates a flow rate of first gas which passes through the humidifier; a second regulator that regulates a flow rate of second gas which does not pass through the humidifier; a variable instrument that varies a humidifying performance of the humidifier; a sensor; and a controller. Here, the controller controls the first and the second regulators to adjust a flow rate ratio of the first gas and the second gas on the basis of the humidity of the humidified gas detected by the sensor and a pre-set target humidity, and controls the variable instrument to keep the flow rate of the first gas constant on the basis of an amount of operation of the first regulator and an amount of pre-set target operation. | 10-27-2011 |
20110261334 | Apparatus for Method for Immersion Lithography - An apparatus for immersion lithography that includes an imaging lens which has a front surface, a fluid-containing wafer stage for supporting a wafer that has a top surface to be exposed positioned spaced-apart and juxtaposed to the front surface of the imaging lens, and a fluid that has a refractive index between about 1.0 and about 2.0 filling a gap formed in-between the front surface of the imaging lens and the top surface of the wafer. A method for immersion lithography can be carried out by flowing a fluid through a gap formed in-between the front surface of an imaging lens and a top surface of a wafer. The flow rate and temperature of the fluid can be controlled while particulate contaminants are filtered out by a filtering device. | 10-27-2011 |
20110261335 | SYSTEMS AND METHODS FOR THERMALLY-INDUCED ABERRATION CORRECTION IN IMMERSION LITHOGRAPHY - Immersion lithography aberration control systems and methods that compensate for a heating effect of exposure energy in an immersion fluid across an exposure zone are provided. An aberration control system includes actuators that adjust optical elements within the immersion lithography system and a fluid heating compensation module coupled to the actuators. The fluid heating adjustment module determines actuator commands to make aberration adjustments to optical elements within the immersion lithography system based on changes in one or more of a flow rate of the immersion liquid, an exposure dose and a reticle pattern image. In an embodiment, the aberration control system includes an interferometric sensor that pre-calibrates aberrations based on changes in operating characteristics related to the immersion fluid. Methods are provided that calibrate aberrations, determine actuator adjustments and implement actuator adjustments upon changes in operating characteristics to control aberration effects. | 10-27-2011 |
20110267590 | Dual Containment System for Transporting a Fluid Through a "Rolling Loop" Cable Duct - Disclosed are systems and methods for a fluid transportation system having a first flexible tube with an inner wall. The fluid transportation system also has a second flexible tube with an outer wall. The second tube is located inside the first tube and a standoff is located between the inner wall of the first tube and the outer wall of the second tube. The first flexible tube is configured to transport a first fluid and the second flexible tube is configured to transport a second fluid. The first and second flexible tubes are configured such that the first fluid isolates the second fluid from an ambient environment, and the first fluid can be monitored for leakage. | 11-03-2011 |
20110267591 | Apparatus for Method for Immersion Lithography - An apparatus for immersion lithography that includes an imaging lens which has a front surface, a fluid-containing wafer stage for supporting a wafer that has a top surface to be exposed positioned spaced-apart and juxtaposed to the front surface of the imaging lens, and a fluid that has a refractive index between about 1.0 and about 2.0 filling a gap formed in-between the front surface of the imaging lens and the top surface of the wafer. A method for immersion lithography can be carried out by flowing a fluid through a gap formed in-between the front surface of an imaging lens and a top surface of a wafer. The flow rate and temperature of the fluid can be controlled while particulate contaminants are filtered out by a filtering device. | 11-03-2011 |
20110267592 | LITHOGRAPHIC APPARATUS AND SUBSTRATE EDGE SEAL - A method of helping to prevent liquid reaching under a substrate is disclosed that includes introducing a gas at a bottom edge of the substrate so that a buffer is created at the edge of the substrate, helping to keep immersion liquid that is present at the top and edge of the substrate away from the bottom surface of the substrate. | 11-03-2011 |
20110273675 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A porous member is used in a liquid removal system of an immersion lithographic projection apparatus to smooth uneven flows. A pressure differential across the porous member may be maintained at below the bubble point of the porous member so that a single-phase liquid flow is obtained. Alternatively, the porous member may be used to reduce unevenness in a two-phase flow. | 11-10-2011 |
20110273676 | IMMERSION PHOTOLITHOGRAPHY SYSTEM AND METHOD USING MICROCHANNEL NOZZLES - A liquid immersion photolithography system includes an exposure system that exposes a substrate with electromagnetic radiation and includes a projection optical system that focuses the electromagnetic radiation on the substrate. A liquid supply system provides liquid flow between the projection optical system and the substrate. An optional plurality of micronozzles are arranged around the periphery of one side of the projection optical system so as to provide a substantially uniform velocity distribution of the liquid flow in an area where the substrate is being exposed. | 11-10-2011 |
20110273677 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic projection apparatus is disclosed in which liquid is provided between a projection system of the apparatus and a substrate. The use of both liquidphobic and liquidphilic layers on various elements of the apparatus is provided to help prevent formation of bubbles in the liquid and to help reduce residue on the elements after being in contact with the liquid. | 11-10-2011 |
20110273678 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Embodiments of a drain in a lithographic projection apparatus are described that have, for example, a feature which reduces inflow of gas into the drain during a period when no liquid is present in the drain. In one example, a passive liquid removal mechanism is provided such that the pressure of gas in the drain is equal to the ambient gas pressure and in another embodiment a flap is provided to close off a chamber during times when no liquid needs removing. | 11-10-2011 |
20110273679 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus having a table including a target and/or a sensor and a liquid displacing device to displace liquid from the target and/or sensor using a localized gas flow is disclosed. The liquid displacement device may be positioned at various positions, e.g. mounted to a liquid handling device at an exposure station, adjacent or in a transfer path between the exposure station and a measurement station, at a load/unload station or adjacent a sensor. | 11-10-2011 |
20110273680 | IMMERSION PHOTOLITHOGRAPHY SYSTEM AND METHOD USING MICROCHANNEL NOZZLES - A liquid immersion photolithography system includes an exposure system that exposes a substrate with electromagnetic radiation and includes a projection optical system that focuses the electromagnetic radiation on the substrate. A liquid supply system provides liquid flow between the projection optical system and the substrate. An optional plurality of micronozzles are arranged around the periphery of one side of the projection optical system so as to provide a substantially uniform velocity distribution of the liquid flow in an area where the substrate is being exposed. | 11-10-2011 |
20110273681 | VACUUM SYSTEM FOR IMMERSION PHOTOLITHOGRAPHY - A vacuum system for extracting a stream of a multi-phase fluid from a photo-lithography tool comprises a pumping arrangement for drawing the fluid from the tool, and an extraction tank located upstream from the pumping arrangement for separating the fluid drawn from the tool into gas and liquid phases. The pumping arrangement comprises a first pump for extracting gas from the tank, and a second pump for extracting liquid from the tank. In order to minimize any pressure fluctuations transmitted from the vacuum system back to the fluid within the tool, a pressure control system maintains a substantially constant pressure in the tank by regulating the amounts of liquid and gas within the tank. | 11-10-2011 |
20110273682 | Lithographic Apparatus and Thermal Optical Manipulator Control Method - A control method is provided for controlling a heating of a thermal optical element, the thermal optical element having a matrix of heater elements. The method includes stabilizing a nominal temperature of the thermal optical element with a feedback loop to control the heating of heater elements; providing a desired temperature profile of the thermal optical element by a set point signal; determining a feedforward control of the heater elements from the set point signal; and forwardly feeding an output of the feedforward control into the feedback loop. | 11-10-2011 |
20110273683 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic apparatus, a localized area of the substrate surface under a projection system is immersed in liquid. The height of a liquid supply system above the surface of the substrate can be varied using actuators. A control system uses feedforward or feedback control with input of the surface height of the substrate to maintain the liquid supply system at a predetermined height above the surface of the substrate. | 11-10-2011 |
20110279794 | Exposure apparatus and device manufacturing method - An exposure apparatus illuminates a pattern with an energy beam and transfers the pattern onto a substrate via a projection optical system. The exposure apparatus includes a substrate stage, a supply mechanism, a recovery mechanism and an auxiliary recovery mechanism. The substrate stage mounts the substrate and moves within a two-dimensional plane while holding the substrate. The supply mechanism supplies liquid to a space between the projection optical system and the substrate on the substrate stage. The recovery mechanism recovers the liquid, and the auxiliary recovery mechanism recovers the liquid which could not be recovered by the recovery mechanism. | 11-17-2011 |
20110279795 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic apparatus, a localized area of the substrate surface under a projection system is immersed in liquid. The height of a liquid supply system above the surface of the substrate can be varied using actuators. A control system uses feedforward or feedback control with input of the surface height of the substrate to maintain the liquid supply system at a predetermined height above the surface of the substrate. | 11-17-2011 |
20110279796 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an immersion lithographic apparatus, a final element is disclosed having, on a surface nearest the substrate, a layer bonded to the surface and having an edge barrier, of the same material as the layer, extending from the layer away from the substrate to shield the final element from a liquid. In an embodiment, the final element is attached to the apparatus via the layer and/or edge barrier, which may be made of a material with a coefficient of thermal expansion lower than the coefficient of thermal expansion of the final element. | 11-17-2011 |
20110285975 | METHOD OF MANAGING EUV EXPOSURE MASK AND EXPOSURE METHOD - According to one embodiment, there is provided a method of managing an EUV exposure mask to manage a cleaning period of the EUV exposure mask set in an exposure apparatus, including obtaining mark profile signals corresponding to two different directions of an alignment mark provided on the mask by irradiating the mark with EUV light and detecting light reflected by the mask, measuring dimensions of the mark in the two different directions from the obtained mark profile signals, calculating a difference between the measured dimensions in the two different directions, and determining the cleaning period of the mask based on the calculated difference. | 11-24-2011 |
20110285976 | LITHOGRAPHIC APPARATUS, FLUID HANDLING STRUCTURE FOR USE IN A LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus including a fluid handling structure configured to contain immersion fluid in a space adjacent to an upper surface of the substrate table and/or a substrate located in a recess of the substrate table, a cover having a planar main body that, in use, extends around a substrate from the upper surface to a peripheral section of an upper major face of the substrate in order to cover a gap between an edge of the recess and an edge of the substrate, and an immersion fluid film disruptor, configured to disrupt the formation of a film of immersion fluid between an edge of the cover and immersion fluid contained by the fluid handling structure during movement of the substrate table relative to the fluid handling structure. | 11-24-2011 |
20110285977 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus is disclosed in which a space between the projection system and a sensor is filled with a liquid. | 11-24-2011 |
20110292357 | FLUID HANDLING STRUCTURE, A LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A fluid handling structure to confine immersion liquid in a space between a projection system and a facing surface of a substrate, of a table to support the substrate, or both, is disclosed. The fluid handling structure includes a transponder to dissolve at least some of the gas in a bubble in the immersion liquid or to control a bubble in the immersion liquid so that it avoids entering an optical path of a beam from the projection system. | 12-01-2011 |
20110292358 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Various types of pressure regulating devices are disclosed to reduce a pressure gradient in a liquid supply system of a lithographic apparatus, the liquid supply system having a liquid confinement structure configured to at least partially confine a liquid between a projection system and a substrate table of the lithographic apparatus. A high pressure gradient may cause particulate contamination in the liquid supply system and/or liquid confinement structure. A pressure gradient can be reduced by, for example, the use of slow switching in one or more valves, a bleed flow around or through one or more valves, diversion of liquid to a drain rather than or in addition to switching a valve off, a pressure regulator or flow restrictor to prevent shock waves, and a buffer volume/damper to compensate for pressure fluctuation. | 12-01-2011 |
20110292359 | CLEANING DEVICE AND A LITHOGRAPHIC APPARATUS CLEANING METHOD - A cleaning tool to clean a surface of a component of a lithographic apparatus is disclosed. The cleaning tool includes a sonic transducer, a liquid supply device configured to provide liquid to a reservoir between the surface to be cleaned and the sonic transducer, and a liquid outlet configured to remove liquid provided by the liquid supply device, the cleaning tool constructed and arranged such that, in use, liquid flows into the outlet under the influence of gravity. | 12-01-2011 |
20110292360 | PATTERNING NON-PLANAR SURFACES - A system for forming a pattern on a part comprises a chamber base, a pressure vessel and a retaining device. The retaining device is positionable between the chamber base and the pressure vessel to secure a deformable mask therebetween. The system further comprises a first pressure source, a second pressure source and a third pressure source. The first pressure source provides a negative pressure within the chamber to draw the mask towards a part installed within the chamber base. The second pressure source provides a positive pressure within the pressure vessel to direct the mask towards the part so that the mask corresponds to at least one complex non-planar surface of the part. The third pressure source provides a negative pressure within pressure vessel. An exposure source exposes the part through the mask while the mask is deformed corresponding to the at least one complex non-planar surface of the part. | 12-01-2011 |
20110299051 | FLUID SUPPLY SYSTEM, A LITHOGRAPHIC APPARATUS, A METHOD OF VARYING FLUID FLOW RATE AND A DEVICE MANUFACTURING METHOD - A fluid supply system for a lithographic apparatus includes a first fluid flow path for fluid between a fluid source and a first component and a drain fluid flow path for fluid flow from a junction in the first fluid flow path to a drain component. A controller is provided to vary a fluid flow rate to the first component from the fluid source by regulating flow of fluid through the drain fluid flow path. | 12-08-2011 |
20110310365 | CHAMBER APPARATUS AND METHOD OF MAINTAINING TARGET SUPPLY UNIT - A chamber apparatus used with a laser apparatus may include: a chamber provided with at least one inlet for introducing thereinto a laser beam outputted from the laser apparatus; a target supply unit provided to the chamber for supplying a target material to a predetermined region in the chamber; a recovery control unit for instructing the target supply unit to execute recovery operation if a predetermined condition is met; a recovery unit for executing the recovery operation in response to the instruction from the recovery control unit; and a position measuring unit for measuring a position of the target material supplied from the target supply unit into the chamber. | 12-22-2011 |
20110310366 | EXPOSURE APPARATUS AND ARTICLE MANUFACTURING METHOD - An apparatus for exposing a substrate to an energy in a vacuum includes a substrate stage having a mirror surface; a mirror configured to deflect a light into a Z axis direction; a measuring device configured to measure the stage position in the Z axis direction with the light in which the mirror surface is irradiated; a driving device configured to move the measuring device so that the mirror surface is irradiated with the light; an optical system configured to project the energy onto the substrate; and a cooling device including a radiation plate (arranged between the optical system and the stage in the Z axis direction and having a first opening which the energy passes and a second opening which the light passes), including a cooler configured to cool the first radiation plate, and configured to perform radiation cooling of the substrate. | 12-22-2011 |
20110310367 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A porous member is used in a liquid removal system of an immersion lithographic projection apparatus to smooth uneven flows. A pressure differential across the porous member may be maintained at below the bubble point of the porous member so that a single-phase liquid flow is obtained. Alternatively, the porous member may be used to reduce unevenness in a two-phase flow. | 12-22-2011 |
20110317136 | Inspection Apparatus Employing Wide Angle Objective Lens With Optical Window - An optical window is used to facilitate best performance for imaging an object placed in a separate ambiance. The window can be in a particle detection system, comprising a separator between first and second environments. The separator comprises an opening and an optical element located within the opening. An object is located in the second environment. An objective lens is located in the first environment and a detector is located in the second environment and is configured to detect particles on a surface of the object. | 12-29-2011 |
20110317137 | LITHOGRAPHIC APPARATUS AND LITHOGRAPHIC APPARATUS COOLING METHOD - A lithographic apparatus includes an illumination system configured to condition a radiation beam; a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; a projection system configured to project the patterned radiation beam onto a target portion of the substrate; and a cooling system to cool a part of the lithographic apparatus with increased cooling capabilities to reduce the heat transfer from the part to other parts of the apparatus. | 12-29-2011 |
20110317138 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is provided in which exposure is carried out by projecting through an aqueous solution of alkali metal halide(s), the solution being in contact with the substrate to be exposed. | 12-29-2011 |
20110317139 | EXPOSURE APPARATUS AND EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes: a supply port through which a liquid is supplied to an optical path space of exposure light; and a supply passage in which the liquid flows and which is in fluid communication with the supply port. An amount of a predetermined substance mixed into the liquid in the supply passage is set to be not greater than a predetermined value. | 12-29-2011 |
20120002181 | EXPOSURE CONTROL SYSTEM AND EXPOSURE CONTROL METHOD - According to one embodiment, an exposure control system includes an overlap judgment unit that judges whether a position of a foreign matter that adheres to a back surface of a photomask overlaps a position of a chuck that holds the photomask when the photomask is held by the chuck, and an exposure decision unit that decides to hold the photomask by the chuck and perform exposure, when it has been determined that the position of the foreign matter does not overlap the position of the chuck. | 01-05-2012 |
20120008111 | Exposure apparatus, and device manufacturing method - A support structure of a lithographic projection apparatus is configured to hold a patterning device, the patterning device being configured to pattern a beam of radiation according to a desired pattern. A substrate table is configured to hold a substrate. A projection system is configured to project the patterned beam onto a target portion of the substrate. A liquid supply system includes a container at least partly defining a space between the projection system and the substrate, the container having a selectively openable and closeable aperture therein, the aperture having an area smaller than an area of the substrate, the patterned beam capable of being projected through liquid in the space and the aperture onto the substrate. A closure is configured to selectively close and open the aperture, and the closure is separable from the remainder of the apparatus. | 01-12-2012 |
20120008112 | Substrate processing method, exposure apparatus, and method for producing device by immersing substrate in second liquid before immersion exposure through first liquid - An immersion type lithographic apparatus includes at least one immersion space and an immersion system configured to at least partially fill the immersion space with a liquid. The apparatus is configured to rinse at least part of the immersion space with a rinsing liquid before the apparatus is used to project a patterned beam of radiation onto a substrate. | 01-12-2012 |
20120008113 | LITHOGRAPHIC APPARATUS, CONTROL SYSTEM AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is disclosed that has a measurement system or a prediction system for measuring and/or predicting, respectively, an effect associated with a temperature fluctuation of the immersion liquid, and a control system for controlling the or another effect associated with the temperature of the immersion liquid, on the basis of the measurement and/or prediction obtained by the measurement system and/or prediction system, respectively. An associated control system and device manufacturing method is also disclosed. | 01-12-2012 |
20120008114 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus for immersion lithography is disclosed in which a seal between different parts of the substrate table may be arranged to reduce the transmission of forces between the different parts. | 01-12-2012 |
20120008115 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is disclosed wherein a liquid supply system is configured to at least partly fill a region between a substrate and a projection system of the lithographic apparatus with a liquid and having a liquid confinement structure fixed in a plane substantially perpendicular to an optical axis of the projection system and configured to cooperate with a substrate table configured to hold the substrate in order to restrict the liquid to a region above an upper surface of the substrate table so that a side of the substrate to be exposed is substantially covered in the liquid during exposure. | 01-12-2012 |
20120008116 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A liquid supply system for an immersion lithographic apparatus provides a laminar flow of immersion liquid between a final element of the projection system and a substrate. A control system minimizes the chances of overflowing and an extractor includes an array of outlets configured to minimize vibrations. | 01-12-2012 |
20120008117 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus and device manufacturing method makes use of a liquid confined in a reservoir between the projection system and the substrate. Bubbles forming in the liquid from dissolved atmospheric gases or from out-gassing from apparatus elements exposed to the liquid are detected and/or removed so that they do not interfere with exposure and lead to printing defects on the substrate. Detection may be carried out by measuring the frequency dependence of ultrasonic attenuation in the liquid and bubble removal may be implemented by degassing and pressurizing the liquid, isolating the liquid from the atmosphere, using liquids of low surface tension, providing a continuous flow of liquid through the imaging field, and/or phase shifting ultrasonic standing-wave node patterns. | 01-12-2012 |
20120008118 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A liquid supply system for an immersion lithographic apparatus provides a laminar flow of immersion liquid between a final element of the projection system and a substrate. A control system minimizes the chances of overflowing and an extractor includes an array of outlets configured to minimize vibrations. | 01-12-2012 |
20120008119 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A method and apparatus for cleaning the inside of an immersion lithographic apparatus is disclosed. In particular, a liquid supply system of the lithographic apparatus may be used to introduce a cleaning fluid into a space between the projection system and the substrate table of the lithographic apparatus. Additionally or alternatively, a cleaning device may be provided on the substrate table and an ultrasonic emitter may be provided to create an ultrasonic cleaning liquid. | 01-12-2012 |
20120013860 | Liquid recovery system, immersion exposure apparatus, immersion exposing method, and device fabricating method - A liquid recovery system is used by an immersion exposure apparatus. The liquid recovery system is provided with: a first opening; a gap portion that is provided so that a liquid on an object that opposes the first opening can flow into the gap portion through the first opening; a liquid recovery part that suctions, through a porous member, at least part of the liquid that flows into the gap portion; and a second opening that is different from the first opening. The gap portion is open to the atmosphere through the second opening. | 01-19-2012 |
20120013861 | Apparatus and method for providing fluid for immersion lithography - An apparatus and method provide fluid for immersion lithography. A nozzle member that can move in a direction, is arranged to encircle a space under the optical element. The nozzle member can have an input to supply the immersion liquid to the space under the optical element during the exposure, and an output to remove the immersion liquid from a gap between the nozzle member and the wafer during the exposure. Immersion liquid can be supplied at a first rate to the space from a first portion of the nozzle member and at a second rate to the space from a second portion during the exposure. A wafer substrate is exposed by light through the immersion liquid. | 01-19-2012 |
20120013862 | LIQUID IMMERSION MEMBER, IMMERSION EXPOSURE APPARATUS, LIQUID RECOVERING METHOD, DEVICE FABRICATING METHOD, PROGRAM, AND STORAGE MEDIUM - A liquid immersion member is disposed inside an immersion exposure apparatus and at least partly around an optical member and around an optical path of exposure light that passes through a liquid between the optical member and an object. The liquid immersion member comprises: a first member, which has a first surface, a second surface that faces a direction other than that faced by the first surface, and a plurality of holes that connects the first surface and the second surface, that recovers at least some of the liquid from the space above the object opposing the first surface via the holes; a recovery passageway, wherethrough the liquid recovered via the holes of the first member flows; and a discharge part, which separately discharges a liquid and a gas from the recovery passageway. The first member comprises a first portion and a second portion. The second portion hinders a gas flowing from a space between the object and the first surface into the recovery passageway via the holes more than the first portion does. | 01-19-2012 |
20120013863 | LIQUID IMMERSION MEMBER, IMMERSION EXPOSURE APPARATUS, LIQUID RECOVERING METHOD, DEVICE FABRICATING METHOD, PROGRAM, AND STORAGE MEDIUM - A liquid immersion member is disposed inside an immersion exposure apparatus and at least partly around an optical member and an optical path of exposure light that passes through a liquid between the optical member and an object. The liquid immersion member comprises: a first member, which has a recovery port that recovers at least some of the liquid from the space above the object; a recovery passageway, wherethrough the liquid recovered via the recovery port flows; a discharge part, which has a first discharge port for discharging the liquid from the recovery passageway and a second discharge port for discharging a gas from the recovery passageway, that separately discharges the liquid and the gas from the recovery passageway; and a hindering part, which hinders the liquid in the recovery passageway from contacting the second discharge port. | 01-19-2012 |
20120013864 | LIQUID IMMERSION MEMBER, IMMERSION EXPOSURE APPARATUS, LIQUID RECOVERING METHOD, DEVICE FABRICATING METHOD, PROGRAM, AND STORAGE MEDIUM - A liquid immersion member is disposed inside an immersion exposure apparatus and at least partly around an optical member and around an optical path of exposure light that passes through a liquid between the optical member and an object. The liquid immersion member comprising: a first member, which has a recovery port that recovers at least some of the liquid from a space above the object; a recovery passageway, wherein the liquid recovered via the recovery port flows; a second member, which faces the recovery passageway and has a first discharge port that is for discharging the liquid from the recovery passageway; and a third member, which faces the recovery passageway and has a second discharge port that is for discharging a gas from the recovery passageway. The second member comprises a first portion and a second portion, which is disposed at a position higher than the first portion is and is capable of discharging a greater amount of the liquid than the first portion is. | 01-19-2012 |
20120013865 | LITHOGRAPHIC APPARATUS AND METHOD - A substrate table to support a substrate on a substrate supporting area, the substrate table having a heat transfer fluid channel at least under the substrate supporting area, and a plurality of heaters and/or coolers to thermally control the heat transfer fluid in the channel at a location under the substrate supporting area. | 01-19-2012 |
20120013866 | LITHOGRAPHIC APPARATUS, FLUID COMBINING UNIT AND DEVICE MANUFACTURING METHOD - A system for tuning the refractive index of immersion liquid in an immersion lithographic apparatus is disclosed. Two or more immersion liquids of different refractive index are mixed together in order to achieve a desired refractive index. Further, the fluids may be conditioned and treated to maintain optical characteristics. | 01-19-2012 |
20120013867 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A substrate table of an immersion lithographic apparatus is disclosed which comprises a barrier configured to collect liquid. The barrier surrounds the substrate and is spaced apart from the substrate. In this way any liquid which is spilt from the liquid supply system can be collected to reduce the risk of contamination of delicate components of the lithographic projection apparatus. | 01-19-2012 |
20120013868 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus is disclosed for use with an immersion liquid positioned between the projection system and a substrate. Several methods and mechanism are disclosed to protect components of the projection system, substrate table and a liquid confinement system. These include providing a protective coating on a final element of the projection system as well as providing one or more sacrificial bodies upstream of the components. A two component final optical element of CaF | 01-19-2012 |
20120013869 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Liquid is supplied to a space between the projection system and the substrate by an inlet. In an embodiment, an overflow region removes liquid above a given level. The overflow region may be arranged above the inlet and thus the liquid may be constantly refreshed and the pressure in the liquid may remain substantially constant. | 01-19-2012 |
20120013870 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic projection apparatus, a liquid supply system maintains liquid in a space between the projection system and the substrate. The liquid supply system may further include a de-mineralizing unit, a distillation unit, a de-hydrocarbonating unit, a UV radiation source, and/or a filter configured to purify the liquid. A gas content reduction device may be provided to reduce a gas content of the liquid. A chemical may be added to the liquid using an adding device to inhibit lifeform growth and components of the liquid supply system may be made of a material which is non-transparent to visible light such that growth of lifeforms may be reduced. | 01-19-2012 |
20120013871 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus has adaptations to prevent or reduce bubble formation in one or more gaps in the substrate table by preventing bubbles escaping from the gap into the beam path and/or extracting bubbles that may form in the gap. | 01-19-2012 |
20120013872 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus and device manufacturing method makes use of a liquid confined in a reservoir between the projection system and the substrate. Bubbles forming in the liquid from dissolved atmospheric gases or from out-gassing from apparatus elements exposed to the liquid are detected and/or removed so that they do not interfere with exposure and lead to printing defects on the substrate. Detection may be carried out by measuring the frequency dependence of ultrasonic attenuation in the liquid and bubble removal may be implemented by degassing and pressurizing the liquid, isolating the liquid from the atmosphere, using liquids of low surface tension, providing a continuous flow of liquid through the imaging field, and/or phase shifting ultrasonic standing-wave node patterns. | 01-19-2012 |
20120013873 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus has adaptations to prevent or reduce bubble formation in one or more gaps in the substrate table by preventing bubbles escaping from the gap into the beam path and/or extracting bubbles that may form in the gap. | 01-19-2012 |
20120013874 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic projection apparatus, a liquid supply system maintains liquid in a space between the projection system and the substrate. The liquid supply system may further include a de-mineralizing unit, a distillation unit, a de-hydrocarbonating unit, a UV radiation source, and/or a filter configured to purify the liquid. A gas content reduction device may be provided to reduce a gas content of the liquid. A chemical may be added to the liquid using an adding device to inhibit lifeform growth and components of the liquid supply system may be made of a material which is non-transparent to visible light such that growth of lifeforms may be reduced. | 01-19-2012 |
20120019792 | Liquid jet and recovery system for immersion lithography - A liquid immersion lithography apparatus includes a stage on which a wafer is held. A projection system projects a pattern image to an exposure region through an immersion liquid to expose the wafer on the stage. A plurality of supply openings are arranged to surround the exposure region, via which the liquid is supplied from above the exposure region. A plurality of recovery openings are arranged to surround the exposure region, via which the liquid is collected from above the exposure region. A part of the supply openings are selected so as to supply the liquid ahead of the exposure region in a direction in which the stage moves. | 01-26-2012 |
20120026474 | Reticle Cooling in a Lithographic Apparatus - An apparatus and method reduce temperature variation across a reticle so as to reduce the expansion variation of the reticle. One method for realizing reduced temperature variation is to fill an inner space with backfill gas under pressure, using distribution trenches and walls (e.g., flow restriction dams), rather than providing uniform backfill gas pressure across the entire reticle. In another method, the perimeter of inner space can be chosen to reduce the expansion variation across the reticle based on the functional relationship between expansion and temperature for the reticle material. In an optional or alternative approach, reduced temperature variation across the reticle can be obtained by selectively filling cavities in the interior of the fluid cooled chuck with backfill gas. | 02-02-2012 |
20120026475 | Exposure apparatus, exposure method, and device producing method - An exposure apparatus EX is provided with a measuring unit | 02-02-2012 |
20120026476 | DEVICE FOR CONTROLLING TEMPERATURE OF AN OPTICAL ELEMENT - A device serves for controlling temperature of an optical element provided in vacuum atmosphere. The device has a cooling apparatus having a radiational cooling part, arranged apart from the optical element, for cooling the optical element by radiation heat transfer. A controller serves for controlling temperature of the radiational cooling part. Further, the device comprises a heating part for heating the optical element. The heating part is connected to the controller for controlling the temperature of the heating part. The resulting device for controlling temperature in particular can be used with an optical element in a EUV microlithography tool leading to a stable performance of its optics. | 02-02-2012 |
20120033192 | Exposure apparatus, exposure method, and device producing method - An exposure apparatus (EX) exposes a substrate (P) by irradiating exposure light (EL) on the substrate (P) via a projection optical system (PL) and a liquid (LQ) supplied from a liquid supply mechanism ( | 02-09-2012 |
20120038894 | Lens Cleaning Module - A lens cleaning module for a lithography system having an exposure apparatus including an objective lens is disclosed. The lens cleaning module includes a scanning stage for supporting a wafer beneath the objective lens. A cleaning module is provided adjacent to the scanning stage for cleaning the objective lens in a non-manual cleaning process. | 02-16-2012 |
20120044468 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithography apparatus comprises a temperature controller configured to adjust a temperature of a projection system, a substrate and a liquid towards a common target temperature. Controlling the temperature of these elements and reducing temperature gradients may improve imaging consistency and general lithographic performance. Measures to control the temperature may include controlling the immersion liquid flow rate and liquid temperature, for example, via a feedback circuit. | 02-23-2012 |
20120044469 | Exposure method, exposure apparatus, and device manufacturing method - A liquid immersion device that has an mixing mechanism that mixes and dissolves a predetermined substance for adjusting specific resistance of the liquid, which is supplied onto a liquid repellent film on the surface of an object (member) of a projection optical system placed on the light emitting side of projection optical system, and an liquid immersion area is formed by supplying the liquid in which the predetermined liquid is dissolved onto the liquid repellent film. | 02-23-2012 |
20120057139 | Cleaning method, device manufacturing method, cleaning substrate, liquid immersion member, liquid immersion exposure apparatus, and dummy substrate - A cleaning substrate and a liquid immersion member face each other in order to clean the liquid immersion member. The cleaning substrate has a first liquid-repellent portion which is liquid-repellent to a first cleaning liquid for cleaning and a lyophilic portion which is disposed in at least a part of the periphery of the first liquid-repellent portion and is more lyophilic than the first liquid-repellent portion. | 03-08-2012 |
20120062858 | CLEANING METHOD, DEVICE MANUFACTURING METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING SYSTEM - A cleaning method comprises: cleaning the liquid contact member by supplying a first liquid for cleaning to the liquid contact member; recovering the first liquid supplied to the liquid contact member; supplying a second liquid different from the first liquid to the liquid contact member after the liquid contact member is cleaned with the first liquid; recovering the second liquid supplied to the liquid contact member; and performing a process in which a concentration of the first liquid comprised in the recovered second liquid is set to a predetermined concentration or less. | 03-15-2012 |
20120062859 | POLISHING DEVICE, POLISHING METHOD, EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes a projection optical system and a liquid supply device. The projection optical system includes an image plane side optical member, which is arranged in an optical path of exposure light, and a lens barrel, which supports the image plane side optical member. The liquid supply device polishes the image plane side optical member in a state supported by the lens barrel to change the shape of the image plane side optical member. | 03-15-2012 |
20120062860 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus exposes a substrate with exposure light via a liquid. The exposure apparatus includes an optical system including an emission surface from which the exposure light is emitted; a liquid supply port that supplies the liquid in order to fill an optical path of the exposure light emitted from the emission surface with the liquid; and a fluid supply port that supplies a fluid including a material capable of changing the specific resistance of the liquid to at least a part of a space around a liquid immersion space that is formed by the liquid. | 03-15-2012 |
20120062861 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes an immersion space forming member ( | 03-15-2012 |
20120069309 | FLUID HANDLING STRUCTURE, MODULE FOR AN IMMERSION LITHOGRAPHIC APPARATUS, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A fluid handling structure successively having, at a boundary from a space configured to contain immersion fluid to a region external to the fluid handling structure: a meniscus pinning feature to resist passage of immersion fluid in a radially outward direction from the space; and a fluid supply opening radially outward of the meniscus pinning feature to supply a fluid soluble in the immersion fluid which on dissolution into the immersion fluid lowers the surface tension of the immersion fluid. | 03-22-2012 |
20120069310 | SEMICONDUCTOR MICROLITHOGRAPHY PROJECTION EXPOSURE APPARATUS - The disclosure relates to an optical correction arrangement including at least one optical element and at least one irradiation mechanism for the targeted local irradiation of the optical element with electromagnetic heating radiation for the targeted local heating of the optical element. The optical correction arrangement also includes a mechanism for dissipating the thermal energy introduced into the optical element by the at least one irradiation mechanism. The disclosure furthermore relates to a projection exposure apparatus for semiconductor lithography including an optical correction arrangement according to the disclosure. | 03-22-2012 |
20120086925 | METHOD FOR AVOIDING CONTAMINATION AND EUV-LITHOGRAPHY-SYSTEM - A method for preventing contaminating gaseous substances ( | 04-12-2012 |
20120086926 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Liquid is supplied to a space between the projection system of a lithographic apparatus and a substrate. A flow of gas towards a vacuum inlet prevents the humid gas from escaping to other parts of the lithographic apparatus. This may help to protect intricate parts of the lithographic apparatus from being damaged by the presence of humid gas. | 04-12-2012 |
20120086927 | DETECTION DEVICE, MOVABLE BODY APPARATUS, PATTERN FORMATION APPARATUS AND PATTERN FORMATION METHOD, EXPOSURE APPARATUS AND EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - By irradiating a detection beam from an irradiation system of a detection device to a scale used for measuring the position of a wafer stage, and detecting the detection beam via the scale by a photodetection system, a surface state (an existence state of foreign substance) of the scale is detected. With this operation, detection of the surface state can be performed contactlessly with respect to the scale. Moreover, movement control of the wafer stage can be performed with high precision by taking the surface state into consideration. | 04-12-2012 |
20120086928 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus, includes a support structure configured to hold a patterning device, the patterning device configured to impart a beam of radiation with a pattern in its cross-section; a substrate table configured to hold a substrate; a projection system configured to project the patterned beam onto a target portion of the substrate; a liquid supply system configured to provide liquid to a space between the projection system and the substrate table; a sensor configured to measure an exposure parameter using a measuring beam projected through the liquid; and a correction system configured to determine an offset based on a change of a physical property impacting a measurement made using the measuring beam to at least partly correct the measured exposure parameter. | 04-12-2012 |
20120086929 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus includes a laser cleaning device. The laser cleaning device is constructed and arranged to clean a surface. The laser cleaning device includes a laser source constructed and arranged to generate radiation, and an optical element constructed and arranged to focus the radiation in a focal point in order to generate a cleaning plasma in a background gas above the surface. The laser cleaning device is further provided with a gas supply constructed and arranged to generate a jet of protection gas at a location near the plasma. | 04-12-2012 |
20120092631 | GAS MANIFOLD, MODULE FOR A LITHOGRAPHIC APPARATUS, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A gas manifold to direct a gas flow between two plates of an optical component of a lithographic apparatus, the gas manifold having an inlet, a diffuser downstream of the inlet, a flow straightener downstream of the inlet, a contractor downstream of the flow straightener, and an outlet downstream of the contractor. | 04-19-2012 |
20120099088 | HIGH HEAT LOAD OPTICS WITH A LIQUID METAL INTERFACE FOR USE IN AN EXTREME ULTRAVIOLET LITHOGRAPHY SYSTEM - Methods and apparatus for cooling mirrors in an extreme ultraviolet (EUV) lithography system using a liquid metal interface are described. According to one aspect of the present invention, an apparatus which may be used in an EUV lithography system includes a heat exchanger, a mirror assembly, and a first liquid metal interface. The heat exchanger including at least a first surface. The minor assembly includes a first mirror block having a first mirrored surface, as well as at least a first well. Finally, the first liquid metal interface includes liquid metal which is contained in the first well. The first surface is in contact with the liquid metal such that heat may be transferred form the first minor block to the heat exchanger. | 04-26-2012 |
20120113402 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is described having a liquid supply system configured to at least partly fill a space between a projection system of the lithographic apparatus and a substrate with liquid, a barrier member arranged to substantially contain the liquid within the space, and one or more elements to control and/or compensate for evaporation of liquid from the substrate. | 05-10-2012 |
20120120376 | FLUID HANDLING STRUCTURE, A LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A fluid handling structure for a lithographic apparatus, the fluid handling structure having, at a boundary from a space configured to contain immersion fluid to a region external to the fluid handling structure: a meniscus pinning feature to resist passage of immersion fluid in a radially outward direction from the space; a gas supply opening radially outward of the meniscus pinning feature; and a gas recovery opening radially outward of the meniscus pinning feature and at least partly surrounding the gas supply opening. | 05-17-2012 |
20120120377 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Liquid is supplied to a space between the projection system of a lithographic apparatus and a substrate. A flow of gas towards a vacuum inlet prevents the humid gas from escaping to other parts of the lithographic apparatus. This may help to protect intricate parts of the lithographic apparatus from being damaged by the presence of humid gas. | 05-17-2012 |
20120120378 | COMPONENT OF AN EUV OR UV LITHOGRAPHY APPARATUS AND METHOD FOR PRODUCING IT - To improve the bonding of two parts ( | 05-17-2012 |
20120127440 | OPTICAL ASSEMBLY FOR PROJECTION LITHOGRAPHY - An optical assembly for projection lithography has an optical component to guide imaging or illumination light. The optical component has a reflective substrate that contains a fluorescent component. An excitation light source is used to produce fluorescence excitation light. An excitation optical system is used to guide the fluorescence excitation light to the fluorescent component of the substrate. The optical assembly also has a fluorescent light detector and a fluorescence optical system for guiding fluorescent light to the fluorescent light detector. The fluorescent light is produced via fluorescence of the fluorescent component upon irradiation with fluorescence excitation light. The optical assembly can detect a temperature or temperature distribution of the substrate of the optical component with a high degree of precision. | 05-24-2012 |
20120127441 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus has adaptations to prevent or reduce bubble formation in one or more gaps in the substrate table by preventing bubbles escaping from the gap into the beam path and/or extracting bubbles that may form in the gap. | 05-24-2012 |
20120133912 | LIQUID RECOVERY SYSTEM, IMMERSION EXPOSURE APPARATUS, IMMERSION EXPOSING METHOD, AND DEVICE FABRICATING METHOD - A liquid recovery system is used by an immersion exposure apparatus. The liquid recovery system comprises: a plate that has a first surface and a second surface on the side opposite the first surface; and a liquid recovery part, at least part of which opposes the second surface with a first gap interposed therebetween. The liquid recovery system recovers the liquid on a movable object that opposes the first surface of the plate via the liquid recovery part. | 05-31-2012 |
20120133913 | ADJUSTMENT METHOD, SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, EXPOSURE APPARATUS, INSPECTION APPARATUS, MEASUREMENT AND/OR INSPECTION SYSTEM, PROCESSING APPARATUS, COMPUTER SYSTEM, PROGRAM AND INFORMATION RECORDING MEDIUM - When a host issues an analysis order that specifically instructs the analytical contents to an analytical apparatus (step | 05-31-2012 |
20120140192 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithography apparatus is disclosed in which liquid is supplied to a space between a projection system and a substrate, and a plate structure is provided to divide the space into two parts. The plate structure has an aperture to allow transmission of the projection beam, has through holes in it to reduce the damping effect of the presence of the plate and optionally has one or more inlets and outlets to provide various flows around the aperture in the plate. An embodiment of the invention may reduce the transportation of contaminants, stray light, temperature gradients, and/or the effect of bubbles on the imaging quality. | 06-07-2012 |
20120154771 | IMMERSION MULTIPLE-EXPOSURE METHOD AND IMMERSION EXPOSURE SYSTEM FOR SEPARATELY PERFORMING MULTIPLE EXPOSURE OF MICROPATTERNS AND NON-MICROPATTERNS - This invention discloses an immersion multiple-exposure method including a first exposure step of performing, using a first mask, immersion exposure of a photoresist film formed on a substrate, a cleaning step of clearing the surface of the substrate, and a second exposure step of performing immersion exposure of the photoresist film using a second mask. No heating process is performed between the first exposure step and the second exposure step. | 06-21-2012 |
20120162620 | Lithographic Apparatus and Method of Modifying a Beam of Radiation Within a Lithographic Apparatus - A lithographic apparatus comprises a beam modifying apparatus mounted in the path of a beam of radiation. The beam modifying apparatus comprises a conduit configured to allow the flow of a fluid through it, the conduit being arranged such that, in use, the beam of radiation passes through the conduit and the fluid flowing through it. The beam modifying apparatus further comprises a heat exchanger in thermal communication with a portion of the conduit located upstream, having regard to the direction of the fluid flow, of the location at which the beam of radiation passes through the conduit. | 06-28-2012 |
20120162621 | LITHOGRAPHIC APPARATUS AND REMOVABLE MEMBER - A lithographic apparatus arranged to transfer a pattern from a patterning device onto a substrate, the lithographic apparatus having a first object and a planar member mounted on the first object to improve thermal transfer to/from a second object. | 06-28-2012 |
20120170007 | EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING A DEVICE - The present invention provides an exposure apparatus including a projection optical system, and configured to expose a substrate to light via the projection optical system and a liquid, the apparatus including a plurality of recovery ports configured to recover the liquid supplied between the projection optical system and the substrate, a chamber connected to the plurality of recovery ports, and a pump configured to attract the liquid via the plurality of recovery ports and the chamber, wherein the plurality of recovery ports are discretely arranged between vertices on each side of a polygon and at each of vertices of the polygon, and a pressure difference between the pump and each of the recovery ports positioned at the vertices among the plurality of recovery ports is less than a pressure difference between the pump and each of the recovery ports positioned between the vertices among the plurality of recovery ports. | 07-05-2012 |
20120170008 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - A scanning exposure apparatus exposes a plurality of shot regions on a substrate to light while scanning an original and the substrate. The apparatus includes: a stage which holds the substrate and moves; and a controller which controls movement of the stage based on a driving profile that defines the movement of the stage. A portion in the driving profile, which defines the movement of the stage during exposure of at least one shot region, is formed by a sine wave having a frequency lower than a resonance frequency in a stage controller. | 07-05-2012 |
20120170009 | FILTER BOX, FILTER APPARATUS, AND EXPOSURE APPARATUS - A plurality of filter boxes which hold chemical filters include: a first filter box having a frame which holds a chemical filter and which has a guide groove provided on a side surface of the frame; and a second filter box having a frame which holds a chemical filter and which has a guide groove provided on a side surface of the frame; wherein the guide groove of the first filter box and the guide groove of the second filter box are different from each other at least in one of a width and a depth thereof. A plurality of filters can be installed or exchanged efficiently or in such a manner that the filters are positioned easily. | 07-05-2012 |
20120176588 | Immersion Lithography Apparatus and Tank Thereof - A tank for an immersion lithography apparatus provided. The tank has a container with a bottom plate and side plates connected to each, wherein the side plates surround and connect all edges of the bottom plate. The container is filled in at least a liquid having a refractive index thereof from about 1.4 to about 1.8. A platform is located in the container and immersed in the liquid. The platform has an axle fastened on a side thereof parallel to the bottom plate, wherein the axle passes through a bearing hole penetrated through one of the side plates. A roller disposed outside the container connects to the axle to rotate the axle, and furthermore, to incline the platform accordingly. | 07-12-2012 |
20120176589 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE - An exposure apparatus is provided with a projection optical system, and the projection optical system includes a first optical element disposed most closely to an image plane of the projection optical system. The exposure apparatus includes a first liquid immersion mechanism which forms a first liquid immersion area of a first liquid between the first optical element and an upper surface of a transparent member provided on a side of the image plane of the projection optical system, and an observation unit which observes a state of the first liquid immersion area. It is possible to grasp the state of the liquid immersion area of the liquid, thereby executing optimum liquid immersion exposure. | 07-12-2012 |
20120188521 | CLEANING METHOD, LIQUID IMMERSION MEMBER, IMMERSION EXPOSURE APPARATUS, DEVICE FABRICATING METHOD, PROGRAM AND STORAGE MEDIUM - A liquid immersion member includes: a first liquid immersion member, which is disposed at least partly around an optical path, that forms a first immersion space of a first liquid at an emergent surface side of an optical member such that the optical path of exposure light between the optical member and a substrate is filled with the first liquid; and a second liquid immersion member, which is disposed at the outer side of the first liquid immersion member, that forms a second immersion space of a second liquid partly around the first immersion space and adjacent to a first guide space. A cleaning method includes: supplying a cleaning liquid such that it contacts at least part of the first liquid immersion member; and recovering at least some of the cleaning liquid from the first liquid immersion member via an opening belonging to the second liquid immersion member. | 07-26-2012 |
20120194790 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithography apparatus includes a liquid supply system configured to supply a liquid to a space through which a beam of radiation passes, the liquid having an optical property that can be tuned by a tuner. The space may be located between the projection system and the substrate. The tuner is arranged to adjust one or more properties of the liquid such as the shape, composition, refractive index and/or absorptivity as a function of space and/or time in order to change the imaging performance of the lithography apparatus. | 08-02-2012 |
20120200835 | RETICLE PROTECTION MEMBER, RETICLE CARRYING DEVICE, EXPOSURE DEVICE AND METHOD FOR CARRYING RETICLE - A position measurement device measures the position of a position measurement mark formed on the lower surface of a reticle, thereby measuring the position of the reticle. A position measurement device measures the position of the position measurement mark formed on the lower surface of a lower lid, thereby measuring the position of the lower lid. The relative displacement of the reticle and lower lid is known when the position of the reticle and the position of the lower lid are known. Therefore, when the lower lid having the reticle loaded thereon is carried with a carrying device and set in an exposure device, the stop position of the lower lid is determined by taking this displacement into account. As a result, the reticle can be correctly set in the exposure device. | 08-09-2012 |
20120200836 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus exposes a substrate by forming a liquid immersion region on the substrate, and projecting a pattern image onto the substrate via a projection optical system and a liquid that forms the liquid immersion region. The exposure apparatus includes a projection optical system having a plurality of optical elements, by which a pattern image is projected onto a substrate via a liquid to expose the substrate and a liquid recovery system that recovers the liquid along with a gas and has a separator that separates the recovered liquid and the recovered gas. | 08-09-2012 |
20120200837 | EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus includes an illumination system that conditions a radiation beam, a support structure that holds a patterning device, the patterning device being capable of imparting the radiation beam with a pattern, a substrate table that holds a substrate, and a projection system that projects the patterned radiation beam onto a target portion of the substrate. In addition, a liquid supply system provides a liquid to a space between the projection system and the substrate, the liquid supply system having a member. A liquid seal device forms a liquid seal between the member and the substrate. | 08-09-2012 |
20120212713 | LITHOGRAPHIC APPARATUS AND METHOD HAVING SUBSTRATE AND SENSOR TABLES - A lithographic apparatus includes a substrate table capable of holding a substrate, a projection system that projects a patterned beam of radiation onto the substrate held by the substrate table, and a sensor table that is not capable of holding a substrate but that includes a sensor capable of sensing a property of the patterned beam of radiation. In addition, a first positioning system is connected to the substrate table and displaces the substrate table into and out of a path of the patterned beam of radiation, and a second positioning system is capable of positioning the sensor table into the path of the patterned beam of radiation when the substrate table is displaced out of the path of the patterned beam of radiation. | 08-23-2012 |
20120218532 | GAS MANIFOLD, MODULE FOR A LITHOGRAPHIC APPARATUS, LITHOGRAPHIC APPARATUS AND DEVICE MANUFATURING METHOD - A gas manifold to direct a gas flow between two parallel plates of an optical component of a lithographic apparatus, the gas manifold having an inlet to provide a gas flow to the gas manifold, a lattice comprising a plurality of through holes to homogenize the gas flow, a contractor downstream of the lattice to reduce the cross sectional area through which the gas flow flows, and an outlet downstream of the contractor to provide the gas flow to the two parallel plates. | 08-30-2012 |
20120224153 | OPTICAL ARRANGEMENT, IN PARTICULAR IN A PROJECTION EXPOSURE APPARATUS FOR EUV LITHOGRAPHY - An optical arrangement, in particular in a projection exposure apparatus for EUV lithography. In an aspect an optical arrangement has a housing ( | 09-06-2012 |
20120224154 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE - An exposure apparatus exposes a substrate by irradiating exposure light on the substrate through liquid. The exposure apparatus has a substrate holder for holding the substrate, a substrate stage capable of moving the substrate held by the substrate holder, and a temperature adjusting system for adjusting the temperature of the substrate holder. The temperature of the substrate is controlled so that there is no difference in temperature between the substrate and the liquid, thereby preventing a reduction in exposure accuracy resulting from variation in temperature of the liquid. | 09-06-2012 |
20120229782 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a substrate table constructed to hold a substrate, a projection system configured to project a patterned radiation beam through an opening and onto a target portion of the substrate, and a conduit having an outlet in the opening. The conduit is configured to deliver gas to the opening. The lithographic apparatus further includes a cooling apparatus controlled by a control system. The cooling apparatus is configured to cool the gas such that gas which travels from the opening to the substrate has a predetermined temperature when the gas is incident upon the substrate. | 09-13-2012 |
20120229783 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a substrate table constructed to hold a substrate, a projection system configured to project a patterned radiation beam through an opening and onto a target portion of the substrate, and a conduit having an outlet in the opening. The conduit is configured to deliver gas to the opening. The lithographic apparatus further includes a cooling apparatus controlled by a control system. The cooling apparatus is configured to cool the gas such that gas which travels from the opening to the substrate has a predetermined temperature when the gas is incident upon the substrate. | 09-13-2012 |
20120236273 | TARGET SUPPLY UNIT - A target supply unit may include: a reservoir for storing a target material; a heater provided inside the reservoir for heating the target material stored in the reservoir; a heater power supply for supplying current to the heater; and a target outlet for outputting the target material stored inside the reservoir. | 09-20-2012 |
20120236274 | LIQUID CRYSTAL PANEL MANUFACTURING APPARATUS AND METHOD FOR MANUFACTURING THE LIQUID CRYSTAL PANEL - According to one embodiment, a liquid crystal panel manufacturing apparatus includes a treatment bath, a light transmissive window, a liquid flowing unit, and a light irradiation unit. The treatment bath is configured to contain a liquid and to treat a panel in the liquid, wherein the panel includes a liquid crystal layer having a photo-polymerizable material and a liquid crystal composition. The light transmissive window is provided in the treatment bath. The liquid flowing unit is configured to cause the liquid to flow along a major surface of the panel. A light irradiation unit is configured to irradiate the panel with a light to polymerize the photo-polymerizable material via the light transmissive window. | 09-20-2012 |
20120236275 | PROJECTION SYSTEM, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Various configurations of a projection system, of a lithographic apparatus, and of a device manufacturing method are disclosed. According to a disclosed configuration, the projection system is configured to project a patterned radiation beam onto a target portion of a substrate. The projection system includes an optical element having a first face and a second face. The first face is configured to be exposed to an external gaseous environment connected to the outside of the lithographic apparatus. The second face is configured to be exposed to an internal gaseous environment, the internal gaseous environment being substantially isolated from the external gaseous environment. The projection system further includes a pressure compensation system configured to adjust the pressure in the internal gaseous environment in response to a change in pressure in the external gaseous environment or a pressure differential between the internal gaseous environment or the external gaseous environment. | 09-20-2012 |
20120236276 | Immersion Lithography System Using Direction-Controlling Fluid Inlets - Immersion lithography system and method using direction-controlling fluid inlets are described. According to one embodiment of the present disclosure, an immersion lithography apparatus includes a lens assembly having an imaging lens disposed therein and a wafer stage configured to retain a wafer beneath the lens assembly. The apparatus also includes a plurality of direction-controlling fluid inlets disposed adjacent to the lens assembly, each direction-controlling fluid inlet in the plurality of direction-controlling fluid inlets being configured to direct a flow of fluid beneath the lens assembly and being independently controllable with respect to the other fluid inlets in the plurality of direction-controlling fluid inlets. | 09-20-2012 |
20120249982 | FLUID HANDLING STRUCTURE, A LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A fluid handling structure configured to supply immersion liquid to a space defined between a projection system and a facing surface facing the fluid handling structure, wherein the fluid handling structure has a main body with an undersurface, a moveable member moveable relative to the main body, and a self-regulating mechanism to maintain a gap of a certain size between a bottom surface of the moveable member and the facing surface independent of the size of a gap between the undersurface and the facing surface. | 10-04-2012 |
20120257177 | ILLUMINATION DESIGN FOR LENS HEATING MITIGATION - A method for reducing the effects of lens heating of a lens in an imaging process includes determining heat load locations on the lens according to an illumination source and a reticle design, obtaining a lens response characterization according to the heat load locations, and utilizing the heat load locations and the lens response characterization to generate a lens heating sensitivity map. | 10-11-2012 |
20120257178 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Liquid is supplied to a space between the projection system and the substrate by an inlet. In an embodiment, an overflow region removes liquid above a given level. The overflow region may be arranged above the inlet and thus the liquid may be constantly refreshed and the pressure in the liquid may remain substantially constant. | 10-11-2012 |
20120257179 | APPARATUS AND METHODS TO RECOVER LIQUID IN IMMERSION LITHOGRAPHY - Methods and apparatus remove liquid from a surface of a substrate, a substrate table, or both, by applying a vacuum to a passage having first and second opposite ends while the first end is in contact with or close to the liquid. This causes the liquid to flow into the first end of the passage as part of a gas/liquid mixture. At least part of the passage between the first and second ends contacts a porous member. The liquid of the gas/liquid mixture is absorbed into the porous member such that substantially only gas is present at the second end of the passage. Thus, substantially only gas flows towards a vacuum source of the vacuum. A second vacuum may be applied to a collection chamber that contacts the porous member to draw the liquid of the gas/liquid mixture from the passage through the porous member and into the collection chamber. | 10-11-2012 |
20120257180 | METHOD OF CLEANING PIPE OF IMMERSION EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE - A method of cleaning a supply pipe of an immersion exposure apparatus includes a cycle including a step of increasing a flow rate of a cleaning liquid via a supply pipe, which supplies a liquid to a gap between a substrate and a final surface of a projection optical system, and a step of decreasing the flow rate, wherein the cycle is executed a plurality of times after one of completion of one of setting and maintenance of the immersion exposure apparatus and completion of exposure of at least one substrate, and before exposure of a first shot region on a new substrate using the immersion exposure apparatus. | 10-11-2012 |
20120257181 | SUBSTRATE TREATMENT DEVICE - A single-wafer substrate processing device is provided which does not spill a processing liquid and the vapors thereof to an exterior when directly supplying the process liquid to a surface of a substrate to process the substrate and which prevents the process liquid and the vapors, etc., thereof to adhere a ceiling, etc., of a housing. The device includes a housing | 10-11-2012 |
20120262683 | EXPOSING METHOD, EXPOSURE APPARATUS, AND DEVICE FABRICATING METHOD - An exposure apparatus comprises: a first detection apparatus, which detects the temperature of a liquid after the liquid contacts a prescribed object; and a processing apparatus that detects the relationship between the temperature of the liquid and the temperature of the object based on the detection result of the first detection apparatus. | 10-18-2012 |
20120262684 | ENVIRONMENTAL SYSTEM INCLUDING VACUUM SCAVENGE FOR AN IMMERSION LITHOGRAPHY APPARATUS - A liquid immersion lithography apparatus exposes a wafer through a liquid in a space under a lens. The apparatus includes a containment member provided such that the containment member surrounds the space under the lens, and a seal member provided between the lens and the containment member. The containment member has a first fluid inlet. The first fluid inlet removes fluid from a gap between the containment member and the wafer during the exposure. | 10-18-2012 |
20120274911 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus is disclosed in which a space between the projection system and a sensor is filled with a liquid. | 11-01-2012 |
20120274912 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a projection system configured to project a patterned radiation beam onto a target portion of a substrate. The projection system has a final element. The apparatus also includes a barrier member surrounding a space between the projection system and, in use, the substrate, to define in part with the final element a reservoir for liquid. The barrier member is spaced from the final element to define a gap therebetween. The apparatus further includes a deformable seal between a radially outer surface of the final element and a radially outer surface of the barrier member. The deformable seal is configured to substantially prevent a gas from flowing past the seal towards or away from the reservoir of liquid. | 11-01-2012 |
20120281189 | Evaporative thermal management of grazing incidence collectors for EUV lithography - Evaporate thermal management systems for and methods of grazing incidence collectors (GICs) for extreme ultraviolet (EUV) lithography include a GIC shell interfaced with a jacket to form a structure having a leading end and that defines a chamber. The chamber operably supports at least one wicking layer. A conduit connects the wicking layer to a condenser system that support cooling fluid in a reservoir. When heat is applied to the leading end, the cooling fluid is drawn into the chamber from the condenser unit via capillary action in the wicking layer and an optional gravity assist, while vapor is drawn in the opposite direction from the chamber to the condenser unit. Heat is removed from the condensed vapor at the condenser unit, thereby cooling the GIC mirror shell. | 11-08-2012 |
20120281190 | LITHOGRAPHIC APPARATUS AND IN-LINE CLEANING APPARATUS - A lithographic system includes an immersion type lithographic apparatus, which includes a support constructed and arranged to support a substrate, a projection system constructed and arranged to project a patterned beam of radiation onto a target portion of the substrate, a liquid confinement structure configured to at least partially fill a space between the projection system and at least one of the substrate and support with an immersion liquid, a liquid supply system arranged to provide the immersion liquid to the liquid confinement structure, and a cleaning liquid supply system arranged to provide a cleaning liquid to a surface of the lithographic apparatus that comes into contact with the immersion liquid. The system includes a switch to provide the cleaning liquid directly to the liquid confinement structure and to provide the immersion liquid indirectly to the liquid confinement structure via a liquid purification system. | 11-08-2012 |
20120293780 | CHARGED PARTICLE LITHOGRAPHY SYSTEM WITH INTERMEDIATE CHAMBER - A charged particle lithography system for transferring a pattern onto the surface of a target, comprising a main vacuum chamber, a source chamber and an intermediate chamber, both located in the main vacuum chamber, a beam generator for generating a charged particle beam, the beam generator located in the source chamber, and a first aperture array element for generating a plurality of charged particle beamlets from the beam, the first aperture array element located in the intermediate chamber. The system is adapted for maintaining a first pressure in the main vacuum chamber, a second pressure in the intermediate chamber, and a third pressure in the source chamber, and wherein the first pressure is lower than an ambient pressure, the second pressure is lower than the first pressure, and the third pressure is lower than the second pressure. | 11-22-2012 |
20120293781 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus which includes a projection optical system and exposes a substrate to radiant energy via the projection optical system, includes a temperature measuring device configured to perform measurement of a temperature of a temperature measurement portion of the projection optical system, and a controller configured to perform prediction of a change in an optical characteristic of the projection optical system based on a change in temperature measured by the temperature measuring device, and to perform processing of reduction, based on the prediction, of a change in a state of an image formed on the substrate by the projection optical system, wherein the controller is configured to perform the prediction in accordance with a second-order lag response function to the change in temperature of the temperature measurement portion. | 11-22-2012 |
20120307215 | Flow Through MEMS Package - A flow through Micro-Electromechanical Systems (MEMS) package and methods of operating a MEMS packaged using the same are provided. Generally, the package includes a cavity in which the MEMS is enclosed, an inlet through which a fluid is introduced to the cavity during operation of the MEMS and an outlet through which the fluid is removed during operation of the MEMS. In certain embodiments, the fluid includes an gas, such as nitrogen, and the inlet and outlet are adapted to provide a flow of gas of from 0.01 Standard Cubic Centimeters per Minute (sccm) to 10000 sccm during operation of the MEMS. The package and method are particularly useful in packaging spatial light modulators including a reflective surface and adapted to reflect and modulate a light beam incident thereon. Other embodiments are also provided. | 12-06-2012 |
20120307216 | TEMPERATURE SENSING PROBE, BURL PLATE, LITHOGRAPHIC APPARATUS AND METHOD - A temperature sensing probe including a temperature sensor in an elongate housing wherein the elongate housing of the probe is elongate in a first direction and the elongate housing is comprised of a material which has a thermal conductivity of at least 500 W/mK at 20° C. in at least one direction. | 12-06-2012 |
20120307217 | SYSTEM AND METHOD FOR TREATING SUBSTRATE - A method and system for treating a substrate are provided. The system includes a coating unit, a pre/post-exposure treatment unit, and a developing unit. Each of the units includes a load port and an index module. The pre/post-exposure treatment unit includes first and second modules that are arranged in different layers. The first module performs a process for coating a protective layer on the wafer before an exposure process. The second module performs a process for cleaning the wafer and a post-exposure bake process after the exposure process. | 12-06-2012 |
20120314193 | EXPOSURE APPARATUS, EXPOSURE METHOD, EXPOSURE APPARATUS MAINTENANCE METHOD, EXPOSURE APPARATUS ADJUSTMENT METHOD AND DEVICE MANUFACTURING METHOD - An exposure apparatus exposes a substrate using exposure light via a liquid. The exposure apparatus comprises a substrate holding part, which releasably holds and is capable of moving a substrate, a management apparatus, which manages a status of usage of a dummy substrate that the substrate holding part is capable of holding. | 12-13-2012 |
20120320350 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE FABRICATION METHOD - An exposure apparatus forms an immersion area by supplying a liquid onto a part of a substrate, and forms a prescribed pattern on the substrate through the liquid. A spare immersion area which is capable of holding part of the liquid on the substrate, is formed at the outer circumference of the immersion area. It is possible to prevent the separation of the liquid, which is disposed between a lower surface of a projection optical system and a substrate surface, from the lower surface of the projection optical system in accordance with the relative movement of the projection optical system and the substrate. | 12-20-2012 |
20120320351 | IMMERSION LITHOGRAPHY SYSTEM USING A SEALED WAFER BATH - Immersion lithography system and method using a sealed wafer bottom are described. One embodiment is an immersion lithography apparatus comprising a lens assembly comprising an imaging lens and a wafer stage for retaining a wafer beneath the lens assembly, the wafer stage comprising a seal ring disposed on a seal ring frame along a top edge of the wafer retained on the wafer stage, the seal ring for sealing a gap between an edge of the wafer and the wafer stage. The embodiment further includes a fluid tank for retaining immersion fluid, the fluid tank situated with respect to the wafer stage for enabling full immersion of the wafer retained on the wafer stage in the immersion fluid and a cover disposed over at least a portion of the fluid tank for providing a temperature-controlled, fluid-rich environment within the fluid tank; and | 12-20-2012 |
20120327381 | Radiation Source, Lithographic Apparatus and Device Manufacturing Method - A radiation source for generating extreme ultraviolet radiation for a lithographic apparatus has a debris mitigation device comprising a nozzle arranged at or near an intermediate focus (IF) of the beam of radiation. The nozzle serves to direct a flow of gas ( | 12-27-2012 |
20130010270 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus having a component that moves in a first direction, the component including a passive gas flow system. The passive gas flow system has a gas inlet to drive gas into the passive gas flow system when the component moves in the first direction and a gas outlet, connected to the gas inlet by a gas conduit, to direct the gas that is driven into the passive gas flow system in a certain direction. | 01-10-2013 |
20130010271 | APPARATUS AND METHODS FOR RECOVERING FLUID IN IMMERSION LITHOGRAPHY - An immersion lithography apparatus includes a projection system having a final optical element, a movable stage that is movable below the projection system such that a gap exists between the final optical element and a surface of the stage, an immersion liquid being filled in the gap, a liquid confinement member and a liquid diverter. The liquid confinement member maintains the immersion liquid in the gap, and includes a liquid recovery portion that faces the stage surface and recovers liquid from the gap. The liquid recovery portion includes a first porous portion through which a first suction force is applied and a second porous portion through which a second suction force less than the first suction force is applied, the second portion being located outward of the first portion. The liquid diverter is positioned between the stage and at least the first porous portion. | 01-10-2013 |
20130016328 | DISPERSING IMMERSION LIQUID FOR HIGH RESOLUTION IMAGING AND LITHOGRAPHY - Methods and apparatus are described for delivering index-matching immersion liquid in high numerical-aperture optical microscopy and lithography. An array of immersion liquid droplets is delivered to a specimen substrate or specimen substrate cover by an immersion liquid printing apparatus. An immersion liquid reservoir provides immersion liquid to the printer by a precision pump. The printer delivers immersion liquid to the substrate or substrate cover in arrays of immersion liquid droplets of defined volumes and array patterns. The volumes and patterns of array droplets delivered to the substrate or substrate cover are optimized to maintain adequate immersion liquid between the substrate or substrate cover and an immersion objective while avoiding the formation of air bubbles in the immersion liquid and the accumulation of excess volumes of immersion liquid. | 01-17-2013 |
20130033686 | Direct Application of Dampening Fluid for a Variable Data Lithographic Apparatus - A system and corresponding methods are disclosed for applying a dampening fluid to a reimageable surface of an imaging member in a variable data lithography system, without a form roller. In one embodiment, the system includes subsystems for converting a dampening fluid from a liquid phase to a dispersed fluid phase, and for directing flow of a dispersed fluid comprising the dampening fluid in dispersed fluid phase to the reimageable surface. The dampening fluid reverts to the liquid phase directly on the reimageable surface. In another embodiment a continuous ribbon of dampening fluid may be applied directly to the reimageable surface. This embodiment includes a body structure having a port for delivering dampening fluid in a continuous fluid ribbon directly to the reimageable surface, and a mechanism, associated with the body structure, for stripping an entrained air layer over the reimageable surface when the reimageable surface is in motion. | 02-07-2013 |
20130033687 | Method for Direct Application of Dampening Fluid for a Variable Data Lithographic Apparatus - A system and corresponding methods are disclosed for applying a dampening fluid to a reimageable surface of an imaging member in a variable data lithography system, without a form roller. In one embodiment, the system includes subsystems for converting a dampening fluid from a liquid phase to a dispersed fluid phase, and for directing flow of a dispersed fluid comprising the dampening fluid in dispersed fluid phase to the reimageable surface. The dampening fluid reverts to the liquid phase directly on the reimageable surface. In another embodiment a continuous ribbon of dampening fluid may be applied directly to the reimageable surface. This embodiment includes a body structure having a port for delivering dampening fluid in a continuous fluid ribbon directly to the reimageable surface, and a mechanism, associated with the body structure, for stripping an entrained air layer over the reimageable surface when the reimageable surface is in motion. | 02-07-2013 |
20130033688 | System for Direct Application of Dampening Fluid for a Variable Data Lithographic Apparatus - A system and corresponding methods are disclosed for applying a dampening fluid to a reimageable surface of an imaging member in a variable data lithography system, without a form roller. In one embodiment, the system includes subsystems for converting a dampening fluid from a liquid phase to a dispersed fluid phase, and for directing flow of a dispersed fluid comprising the dampening fluid in dispersed fluid phase to the reimageable surface. The dampening fluid reverts to the liquid phase directly on the reimageable surface. In another embodiment a continuous ribbon of dampening fluid may be applied directly to the reimageable surface. This embodiment includes a body structure having a port for delivering dampening fluid in a continuous fluid ribbon directly to the reimageable surface, and a mechanism, associated with the body structure, for stripping an entrained air layer over the reimageable surface when the reimageable surface is in motion. | 02-07-2013 |
20130057837 | EXPOSURE APPARATUS, EXPOSURE METHOD, DEVICE-MANUFACTURING METHOD, PROGRAM, AND RECORDING MEDIUM - An exposure apparatus capable of suppressing the occurrence of exposure defects is provided. The exposure apparatus exposes a substrate with exposure light via a liquid. The exposure apparatus includes an optical member having an emission surface from which exposure light is emitted; a substrate holding apparatus including a first holding portion that releasably holds the lower surface of the substrate, a first surface that defines an aperture in which the substrate can be disposed and that is disposed around the upper surface of the substrate in a state where the substrate is held on the first holding portion, and a first space portion that is in communication with a gap between the upper surface of the substrate and the first surface; a drive apparatus that moves the substrate holding apparatus in a state where an immersion space is formed with the liquid between the optical member and at least one of the upper surface of the substrate and the first surface; a suction port through which fluid in the first space portion is suctioned; and a control apparatus that sets a suction force of the suction port in at least a part of a first period in which exposure of the substrate is executed so as to be smaller than a suction force of the suction port in a second period in which exposure of the substrate is not executed. | 03-07-2013 |
20130057838 | EXPOSURE APPARATUS, EXPOSURE METHOD, METHOD OF MANUFACTURING DEVICE, PROGRAM, AND STORAGE MEDIUM - An exposure apparatus exposing a substrate with exposure light through liquid, including: an optical member having an emitting surface from which exposure light is emitted; a substrate holding apparatus that includes: a first holding portion holding a lower surface of substrate so that lower surface of substrate can be released, and a first member that defines an opening where substrate can be arranged, and that has an upper surface which is arranged at a vicinity of an upper surface of the substrate in a state which the substrate is held by the first holding portion; and a porous member of which at least a part is arranged at a gap between the substrate and the first member, and which has an upper surface liquid-repellent with respect to the liquid, wherein at least a part of the liquid which flows into the gap is recovered through the porous member. | 03-07-2013 |
20130070218 | SYSTEM FOR REMOVING CONTAMINANT PARTICLES, LITHOGRAPHIC APPARATUS, METHOD FOR REMOVING CONTAMINANT PARTICLES AND METHOD FOR MANUFACTURING A DEVICE - A system for removing contaminant particles from the path of the beam of EUV radiation is provided in which at least a first AC voltage is provided to a pair of electrodes on opposite sides of the path of the beam of EUV radiation as a first stage of a regime of voltages and, as a second stage of the regime of voltages, a DC voltage is provided to the electrodes. | 03-21-2013 |
20130070219 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is provided with a liquid confinement structure which defines at least in part a space configured to contain liquid between the projection system and the substrate. In order to reduce the crossing of the edge of the substrate which is being imaged (which can lead to inclusion of bubbles in the immersion liquid), the cross-sectional area of the space in a plane parallel to the substrate is made as small as possible. The smallest theoretical size is the size of the target portion which is imaged by the projection system. In an embodiment, the shape of a final element of the projection system is also changed to have a similar size and/or shape in a cross-section parallel to the substrate to that of the target portion. | 03-21-2013 |
20130070220 | FLUID HANDLING STRUCTURE, A LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A fluid handling structure for a lithographic apparatus has, at a boundary of a space configured to contain immersion fluid to a region external to the fluid handling structure, a gas supply opening radially outward of the space, a fluid recovery opening radially outward of the gas supply opening, and a damper surface extending at least 0.5 mm radially outwards from the fluid recovery opening along the undersurface of the fluid handling structure. | 03-21-2013 |
20130070221 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A microlithographic projection exposure apparatus includes a projection light source, a heating light source, a catoptric projection lens and a reflecting switching element, which can be arranged outside of the projection lens and can be displaced between a first position and a second position via a drive. Only the projection light can enter the projection lens in the first position of the switching element, and only the heating light can enter the projection lens in the second position of the switching element. | 03-21-2013 |
20130077064 | ARRANGEMENT FOR USE IN A PROJECTION EXPOSURE TOOL FOR MICROLITHOGRAPHY HAVING A REFLECTIVE OPTICAL ELEMENT - An arrangement for use in a projection exposure tool ( | 03-28-2013 |
20130077065 | CLEANING SUBSTRATE FOR A LITHOGRAPHY APPARATUS, A CLEANING METHOD FOR A LITHOGRAPHY APPARATUS AND A LITHOGRAPHY APPARATUS - A method and apparatus to clean a cover to seal a gap between an object located in a recess of a table and the upper surface of the table outside of the recess. In-line and off-line arrangements are disclosed. Cleaning can be carried out using abrasion, UV radiation or flushing with a cleaning fluid for example. | 03-28-2013 |
20130088694 | LITHOGRAPHIC APPARATUS AND METHOD OF COOLING A COMPONENT IN A LITHOGRAPHIC APPARATUS - A lithographic apparatus includes a component and a local cooler to apply a local cooling load to the component. The local cooler has a gas passageway including a flow restriction upstream of the component and configured to direct a flow of gas exiting the flow restriction to cool a surface of the component. | 04-11-2013 |
20130088695 | DEVICE FOR CONTROLLING TEMPERATURE OF AN OPTICAL ELEMENT - A device serves for controlling temperature of an optical element provided in vacuum atmosphere. The device has a cooling apparatus having a radiational cooling part, arranged apart from the optical element, for cooling the optical element by radiation heat transfer. A controller serves for controlling temperature of the radiational cooling part. Further, the device comprises a heating part for heating the optical element. The heating part is connected to the controller for controlling the temperature of the heating part. The resulting device for controlling temperature in particular can be used with an optical element in a EUV microlithography tool leading to a stable performance of its optics. | 04-11-2013 |
20130094005 | SUPPORT TABLE FOR A LITHOGRAPHIC APPARATUS, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A support table for a lithographic apparatus, the support table having a support section and a conditioning system, wherein the support section, the conditioning system, or both, is configured such that heat transfer to or from a substrate supported on the support table, resulting from the operation of the conditioning system, is greater in a region of the substrate adjacent an edge of the substrate than it is in a region of the substrate that is at the center of the substrate. | 04-18-2013 |
20130094006 | SUBSTRATE CONVEYANCE DEVICE AND SUBSTRATE CONVEYANCE METHOD, EXPOSURE APPARATUS AND EXPOSURE METHOD, DEVICE MANUFACTURING METHOD - A substrate conveyance device that conveys a substrate having been exposed with a pattern image via a projection optical system and a liquid, the substrate conveyance device comprising: a liquid detector that detects the liquid adhering on the substrate. | 04-18-2013 |
20130094007 | Device and Method for Drying a Photomask - An object of the present invention is a photomask drying device which includes: a sealed chamber containing at least one photomask, a pumping unit to set up and maintain vacuum within said chamber, a support for the photomask placed within said chamber, infrared radiation means placed within said chamber, a system for injecting gas into said chamber characterized in that the infrared radiation means comprise a plurality of infrared radiation sources distributed in a plane parallel to the plane of the photomask in such a way that the distance from the photomask to the infrared radiation means is given by the relationship: D=1.5×d wherein D is the distance between the plane containing the infrared radiation sources and the photomask and d is the distance between the center points of two neighboring infrared radiation sources, and in that the gas injection system comprises a plurality of gas injectors distributed in a plane parallel to the plane of the photomask in such a way that the injectors follow a 90° rotational invariance about the center point of the photomask. | 04-18-2013 |
20130100425 | FLUID HANDLING STRUCTURE, A LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A fluid handling structure for a lithographic apparatus, the fluid handling structure to confine liquid to a space, the fluid handling structure having, on an undersurface surrounding the space, a liquid supply opening to supply liquid onto an undersurface of the fluid handling structure and, radially inward with respect to the space of the liquid supply opening, a two dimensional array of liquid extraction openings to extract a liquid from the space and to extract liquid on the undersurface from the liquid supply opening. | 04-25-2013 |
20130100426 | METHOD FOR PRODUCING FACET MIRRORS AND PROJECTION EXPOSURE APPARATUS - The disclosure relates to methods for producing mirrors, in particular facet mirrors, and projection exposure apparatuses equipped with the mirrors. | 04-25-2013 |
20130107236 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 05-02-2013 |
20130107237 | METHOD OF SLIMMING RADIATION-SENSITIVE MATERIAL LINES IN LITHOGRAPHIC APPLICATIONS | 05-02-2013 |
20130114055 | MASK AND OPTICAL FILTER MANUFACTURING APPARATUS INCLUDING THE SAME - A mask and an optical filter manufacturing apparatus having the same are provided. The optical filter manufacturing apparatus includes a roll used in a roll-to-roll process, a base film wound around the roll, a light source that generates light for exposure, a polarizing plate that is installed at an emission side of the light source and polarizes light generated from the light source, and a mask that causes a pattern to be formed on the base film and includes a plurality of guide slits that are opened to have a predetermined thickness and a predetermined width. According to the present invention, the entire surface of the base film can be irradiated with a uniform light quantity. Thus, a pattern can be uniformly formed on the base film, the quality of a product can be improved, and the characteristics of the base film can be accurately realized. | 05-09-2013 |
20130114056 | METHOD FOR IMPROVING AN OPTICAL IMAGING PROPERTY OF A PROJECTION OBJECTIVE OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A method is disclosed for improving an optical imaging property, for example spherical aberration or the focal length, of a projection objective of a microlithographic projection exposure apparatus. First, an immersion liquid is introduced into an interspace between a photosensitive surface and an end face of the projection objective. Then an imaging property of the projection objective is determined, for example using an interferometer or a CCD sensor arranged in an image plane of the projection objective. This imaging property is compared with a target imaging property. Finally, the temperature of the immersion liquid is changed until the determined imaging property is as close as possible to the target imaging property. | 05-09-2013 |
20130114057 | OPTICAL IMAGING DEVICE WITH THERMAL ATTENUATION - An optical imaging device, in particular for use in microlithography, includes a mask device for receiving a mask having a projection pattern, a projection device with an optical element group, a substrate device for receiving a substrate and an immersion zone. The optical element group is adapted to project the projection pattern onto the substrate and includes a plurality of optical elements with an immersion element to which the substrate is at least temporarily located adjacent to during operation. During operation, the immersion zone is located between the immersion element and the substrate and is at least temporarily filled with an immersion medium. A thermal attenuation device is provided, the thermal attenuation device being adapted to reduce fluctuations within the temperature distribution of the immersion element induced by the immersion medium. | 05-09-2013 |
20130120723 | EXPOSURE APPARATUS AND MEASURING DEVICE FOR A PROJECTION LENS - A microlithographic projection exposure apparatus includes a projection lens that is configured for immersion operation. For this purpose an immersion liquid is introduced into an immersion space that is located between a last lens of the projection lens on the image side and a photosensitive layer to be exposed. To reduce fluctuations of refractive index resulting from temperature gradients occurring within the immersion liquid, the projection exposure apparatus includes heat transfer elements that heat or cool partial volumes of the immersion liquid so as to achieve an at least substantially homogenous or at least substantially rotationally symmetric temperature distribution within the immersion liquid. | 05-16-2013 |
20130128243 | TEMPERATURE BALANCING DEVICE OF PROJECTION OBJECTIVE OF LITHOGRAPHY MACHINE AND METHOD THEREOF - The invention provides a temperature balancing device for a projection objective of a lithography machine. The device comprises at least one temperature sensor, at least one heat-absorbing light-transmitting layer and an objective temperature balancing control unit, wherein the temperature sensor is disposed adjacent to the projection objective for sensing the temperature difference of the projection objective in different areas; the heat-absorbing light-transmitting layer is positioned below the projection objective for absorbing radiation energy in the laser beams transmitted from the lithography machine and transmitting the laser beams; and the objective temperature balancing control unit is used for controlling the absorption degree and light transmission degree of the heat-absorbing light-transmitting layer according to the temperature difference sensed by the temperature sensor. The invention also discloses a method for balancing temperature of a projection objective of a lithography machine. | 05-23-2013 |
20130128244 | Exposure Apparatus and Device Manufacturing Method Having Lower Scanning Speed to Expose Peripheral Shot Area - An exposure apparatus includes a substrate stage having a substrate holder to hold a substrate, a gap being formed between an edge of the held substrate and a surface surrounding the held substrate, and a controller that controls an exposure operation in which shot areas of the substrate are exposed sequentially and respectively with an image through liquid of a liquid immersion area which covers a portion of an upper surface of the substrate. The controller moves the substrate stage at a first speed to expose one of the shot areas to the image through the liquid, moves the substrate stage at a second speed, that is lower than the first speed, to expose another one of the shot areas to the image through the liquid, and during the exposing of the another one of the shot areas, the liquid immersion area is formed over a portion of the gap. | 05-23-2013 |
20130128245 | Exposure Apparatus and Device Manufacturing Method - An exposure apparatus which prevents the damage due to the liquid having flowed out from spreading and enables satisfactory performances of the exposure processes and the measurement processes is provided. An exposure apparatus (EX) includes a movable table (PT), a base member ( | 05-23-2013 |
20130135594 | LIQUID IMMERSION MEMBER, IMMERSION EXPOSURE APPARATUS, EXPOSURE METHOD, DEVICE MANUFACTURING METHOD, PROGRAM, AND RECORDING MEDIUM - A liquid immersion member including: a first member provided in at least a portion of the surrounding of an optical member, including a first lower surface which can face an object facing the emission surface, and that forms a first immersion space of a first liquid in an optical path space on an emission surface side and at least a portion of a first space on a first lower surface side; a second member provided outside the first member with respect to the optical path, including a second lower surface which can face the object, and that forms a second immersion space of a second liquid in at least a portion of a second space on a second lower surface side; and a third member that restricts the movement of the first liquid from the first to the second space. | 05-30-2013 |
20130135595 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In immersion lithography after exposure of a substrate is complete, a detector is used to detect any residual liquid remaining on the substrate and/or substrate table. | 05-30-2013 |
20130135596 | LIQUID RECOVERY APPARATUS, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A liquid immersion exposure apparatus that exposes a substrate via a projection optical system and liquid includes a movable member having an upper surface, a part of which holds the substrate. A liquid immersion system that has a supply port and a recovery port supplies the liquid to a space between the projection optical system and the upper surface via the supply port of a supply path and recovers the liquid of a liquid immersion region formed in the space via the recovery port of a recovery path. A flow path is connected to the supply path between the supply port and a source of the liquid, the flow path permitting flow therethrough to remove liquid that remains in the supply path, the liquid that remains in the supply path having been supplied from the source of the liquid without being discharged from the supply port. | 05-30-2013 |
20130135597 | EXPOSURE APPARATUS, METHOD FOR PRODUCING DEVICE, AND METHOD FOR CONTROLLING EXPOSURE APPARATUS - A liquid immersion exposure apparatus includes a movable member having a substrate holder and a surface disposed adjacent to the substrate holder, the surface capable of positioning under a projection optical system. A liquid immersion system has a supply path and a recovery path. The liquid immersion system supplies the liquid to a space between the projection optical system and the surface via the supply path and recovers the supplied liquid of a liquid immersion area formed under the projection optical system via the recovery path. A controller stops a supply of the liquid to the space under the projection optical system by the liquid immersion system on receipt of a signal indicating the occurrence of an abnormality. The abnormality causes a possibility of leakage due to at least a part of the supplied liquid not being recovered via the recovery path and outflowing from the liquid immersion area. | 05-30-2013 |
20130141701 | EXPOSURE METHOD, SUBSTRATE STAGE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure apparatus exposes a substrate by projecting a pattern image onto the substrate through a liquid. The exposure apparatus includes a projection optical system by which the pattern image is projected onto the substrate, and a movable member which is movable relative to the projection optical system. A liquid-repellent member, at least a part of a surface of which is liquid-repellent, is provided detachably on the movable member, the liquid-repellent member being different from the substrate. | 06-06-2013 |
20130141702 | APPARATUS AND METHODS FOR KEEPING IMMERSION FLUID ADJACENT TO AN OPTICAL ASSEMBLY DURING WAFER EXCHANGE IN AN IMMERSION LITHOGRAPHY MACHINE - A lithographic projection apparatus includes a projection optical assembly having a final optical element, a stage assembly including a substrate table on which a substrate is supported, the substrate supported by the substrate table being exposed with an exposure beam from the final optical element of the projection optical assembly through an immersion liquid, a confinement member which encircles a portion of a path of the exposure beam, and a movable member which is movable in a space between the confinement member and the substrate, the substrate table, or both, the space being divided by the movable member into a first portion between the confinement member and the movable member and a second portion between the movable member and the substrate, the substrate table, or both. The movable member has a recovery outlet from which the immersion liquid in the second portion is removed. | 06-06-2013 |
20130141703 | EXPOSURE METHOD, SUBSTRATE STAGE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure apparatus exposes a substrate by projecting a pattern image onto the substrate through a liquid. The exposure apparatus includes a projection optical system by which the pattern image is projected onto the substrate, and a movable member which is movable relative to the projection optical system. A liquid-repellent member, at least a part of a surface of which is liquid-repellent, is provided detachably on the movable member, the liquid-repellent member being different from the substrate. | 06-06-2013 |
20130148089 | APPARATUS AND METHOD FOR PREPARING RELIEF PRINTING FORM - The invention provides a method and an apparatus for preparing a relief printing form from a photosensitive element. More specifically, this invention describes a method and an apparatus for preparing a relief form in an environment having controlled oxygen concentration during exposure to actinic radiation. The method includes forming an in-situ mask on a photosensitive element, exposing the element to actinic radiation through the in-situ mask in an environment having an inert gas and a concentration of oxygen between 190,000 and 100 ppm, and treating the exposed element to form the relief printing form having a pattern of raised surface areas. | 06-13-2013 |
20130148090 | EXPOSURE APPARATUS - An exposure apparatus that can prevent disadvantages due to a leaked liquid is provided. The exposure apparatus includes a substrate holder that includes a peripheral wall portion and supporting portions located on an inside of the peripheral wall portion and that supports a substrate with the supporting portions by negatively pressurizing a space surrounded by the peripheral wall portion, and a recovery mechanism that includes a collection inlets provided on the inside of the peripheral wall portion and a vacuum system connected to the collection inlets, in which a liquid penetrated from an outer periphery of the substrate is sucked and recovered, in the state with an upper surface of the peripheral wall portion and a back surface of the substrate being spaced at a first distance. | 06-13-2013 |
20130155382 | WORK STAGE OF EXPOSING APPARATUS, EXPOSING METHOD AND METHOD OF MANUFACTURING A STRUCTURE - At a time of aligning a thin plate-shaped work of which both front and rear surfaces are subjected to work, a deflection caused at a central portion of the thin plate-shaped work is corrected and the thin plate-shaped work is controlled to be parallel state with respect to a photomask. | 06-20-2013 |
20130169944 | EXPOSURE APPARATUS, EXPOSURE METHOD, DEVICE MANUFACTURING METHOD, PROGRAM, AND RECORDING MEDIUM - An exposure apparatus that exposes a substrate with exposure light through a first liquid. The exposure apparatus includes: an optical member that has an emission surface which emits the exposure light; a first member that forms a first liquid immersion space of the first liquid in at least a part of a first space under the first lower surface and an optical path space including an optical path of the exposure light from the emission surface; and a second member that forms a second liquid immersion space of a second liquid, the second member being capable of moving in a state where the second liquid immersion space is formed separated from the first liquid immersion space. | 07-04-2013 |
20130169945 | EXPOSURE APPARATUS AND METHOD FOR PRODUCING DEVICE - There is provided an exposure apparatus capable of forming a desirable device pattern by removing unnecessary liquid when performing exposure by projecting a pattern onto the substrate via a projection optical system and the liquid. The exposure device projects an image of the pattern onto the substrate via the projection optical system and the liquid so as to expose the substrate. The exposure device includes a liquid removing mechanism which removes the liquid remaining on a part arranged in the vicinity of the image plane of the projection optical system. | 07-04-2013 |
20130176543 | OPTOFLUIDIC LITHOGRAPHY SYSTEM, METHOD OF MANUFACTURING TWO-LAYERED MICROFLUID CHANNEL, AND METHOD OF MANUFACTURING THREE-DIMENSIONAL MICROSTRUCTURES - An optofluidic lithography system including a membrane, a microfluidic channel, and a pneumatic chamber is provided. The membrane may be positioned between a pneumatic chamber and a microfluidic channel. The microfluidic channel may have a height corresponding to a displacement of the membrane and have a fluid flowing therein, the fluid being cured by light irradiated from the bottom to form a microstructure. The pneumatic chamber may induce the displacement of the membrane depending on an internal atmospheric pressure thereof. | 07-11-2013 |
20130176544 | Arrangement for mirror temperature measurement and/or thermal actuation of a mirror in a microlithographic projection exposure apparatus - The disclosure concerns an arrangement for mirror temperature measurement and/or thermal actuation of a mirror in a microlithographic projection exposure apparatus. The mirror has an optical effective surface and at least one access passage extending from a surface of the mirror, that does not correspond to the optical effective surface, in the direction of the effective surface. The arrangement is designed for mirror temperature measurement and/or thermal actuation of the mirror via electromagnetic radiation which is propagated along the access passage. The electromagnetic radiation is reflected a plurality of times within the access passage. | 07-11-2013 |
20130182231 | SUBSTRATE PLACEMENT IN IMMERSION LITHOGRAPHY - A method for determining an offset between a center of a substrate and a center of a depression in a chuck includes providing a test substrate to the depression, the test substrate having a dimension smaller than a dimension of the depression, measuring a position of an alignment mark of the test substrate while in the depression, and determining the offset between the center of the substrate and the center of the depression from the position of the alignment mark. | 07-18-2013 |
20130182232 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND METHOD FOR PRODUCING DEVICE - An exposure apparatus and method exposes a substrate by projecting an image of a pattern onto the substrate through a liquid. A projection optical system projects the image of the pattern onto the substrate. A recovery port recovers the liquid supplied onto the substrate. A temperature sensor measures a temperature of the liquid recovered via the recovery port. | 07-18-2013 |
20130182233 | EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD - An exposure apparatus is provided which can supply and collect a liquid in a prescribed state, and that can suppress degradation of a pattern image projected onto a substrate. The exposure apparatus is provided with a nozzle member having a supply outlet that supplies a liquid and a collection inlet that collects a liquid, and a vibration isolating mechanism that supports the nozzle member and vibrationally isolates the nozzle member from a lower side step part of a main column . | 07-18-2013 |
20130188159 | LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A liquid immersion member forms a liquid immersion space between the member and a movable object such that an optical path of exposure light is filled with liquid. The liquid immersion member includes: a first plate that is disposed at least partially around the optical path; a second plate that is disposed at least partially around the optical path, and has an upper surface, opposed to at least a part of a lower surface of the first plate, and a lower surface which can be opposed to the object; and a collection port that is disposed outside the first plate with respect to the optical path, can be at least partially opposed to the object, and collects at least some of the liquid from a first space, which the upper surface of the second plate faces, and a second space which the lower surface of the second plate faces. | 07-25-2013 |
20130201460 | EXPOSURE METHOD, EXPOSURE APPARATUS AND CLEANING METHOD - There is provided an exposure method for exposing a substrate by using an immersion exposure apparatus provided with a water-repellent area which has a water repellent film and which is at least a part of an area configured to make contact with a liquid so as to irradiate an exposure light onto the substrate via the liquid, the exposure method including: a measuring step of performing a measurement via the liquid with respect to at least a part of the water-repellent area having the water repellent film; and an exposure step of irradiating the exposure light onto the substrate via the liquid. In the measuring step and/or the exposure step, oxidation-reduction potential of the liquid is controlled to a predetermined value. | 08-08-2013 |
20130215403 | EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure apparatus and method exposes a substrate via a projection optical system and liquid. A liquid immersion member having a lower surface and a recovery port at its lower surface side supplies liquid to form a liquid immersion region below the projection optical system and recovers the liquid via the recovery port. First and second tables, on which a substrate is mountable, are positionable opposite to the lower surface of the liquid immersion member. A drive system moves the first and second tables below the projection optical system relative to the liquid immersion member to replace one of the tables positioned opposite to the lower surface of the liquid immersion member with the other of the tables, whereby the liquid immersion region is transferred from the one to the other of the tables while the liquid immersion region is maintained below and in contact with the projection optical system. | 08-22-2013 |
20130229637 | EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure apparatus and method exposes a substrate via a projection optical system and a liquid. A liquid immersion system supplies liquid to form a liquid immersion region below the projection optical system. First and second tables each can mount a substrate. A drive system drives the first and second tables, which are positionable below the projection optical system. The drive system is controlled to move the first and second tables below the projection optical system relative to the liquid immersion region to replace one of the first and second tables positioned below the projection optical system with the other of the first and second tables such that the liquid immersion region is transferred from the one of the first and second tables to the other of the first and second tables while the liquid immersion region is maintained below and in contact with the projection optical system. | 09-05-2013 |
20130235357 | System and Method for Particle Control Near A Reticle - Controlling particles near a reticle of a lithography or reticle inspection system may include generating a curtain of ultraviolet light about a reticle protection area of a reticle by illuminating a region surrounding the reticle protection area with ultraviolet light having sufficient energy to induce a charge on one or more particles traversing the curtain of ultraviolet light, generating an electric field in a region positioned between the generated curtain of ultraviolet light and the reticle protection area, the electric field generated between a first charging element and a second charging element having an opposite charge to the first charging element, directing one or more charged particles to the first charging element or the second charging element using the generated electric field; and capturing the one or more charged particles on the first charging element or the second charging element. | 09-12-2013 |
20130235358 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus includes a support structure configured to hold a patterning device, the patterning device configured to pattern a beam of radiation according to a desired pattern; a substrate table configured to hold a substrate; a projection system configured to project the patterned beam onto a target portion of the substrate; a liquid supply system configured to provide liquid to a space between the projection system and the substrate; and a shutter configured to isolate the space from the substrate or a space to be occupied by a substrate. | 09-12-2013 |
20130235359 | MAINTENANCE METHOD, MAINTENANCE DEVICE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure apparatus is provided with a nozzle member that has at least one of a supply outlet which supplies the liquid and a collection inlet which recovers the liquid. By immersing the nozzle member in cleaning liquid LK stored in container, the nozzle member is cleaned. | 09-12-2013 |
20130250257 | EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - Exposure apparatus and methods expose a substrate with an energy beam via a projection optical system and has first and second tables on each of which a substrate is mountable. A mark detection system is arranged in a second area different from a first area in which the projection optical system is arranged. A substrate mounted on one of the first and second tables is moved in the first area while the one table is held by a first movable member. A substrate mounted on another of the first and second tables is moved in the second area while the another table is held by a second movable member. The tables held by the first and second movable members are driven so that the another table is moved from the second to the first movable member to be held in place of the one table. | 09-26-2013 |
20130250258 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND METHOD FOR PRODUCING DEVICE - A liquid immersion exposure apparatus in which a substrate is exposed with an exposure beam, includes a projection optical system by which the substrate is exposed to the exposure beam, a first inlet disposed at a first position, which is capable of supplying a first liquid to a space adjacent to a bottom surface of the projection optical system, and a second inlet disposed at a second position which is different from the first position, the second inlet being capable of supplying a second liquid that is different from the first liquid to the space. | 09-26-2013 |
20130250259 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND METHOD FOR PRODUCING DEVICE - A liquid immersion exposure apparatus in which a substrate is exposed with an exposure beam, includes a projection optical system by which the substrate is exposed to the exposure beam, a first inlet disposed at a first position, which is capable of supplying a first liquid to a space adjacent to a bottom surface of the projection optical system, and a second inlet disposed at a second position which is different from the first position, the second inlet being capable of supplying a second liquid that is different from the first liquid to the space. | 09-26-2013 |
20130258300 | SUBSTRATE CLEANING APPARATUS AND SUBSTRATE PROCESSING APPARATUS INCLUDING THE SUBSTRATE CLEANING APPARATUS - A rotating shaft is provided to extend downward from the inside of a spin motor. A plate supporting member is attached to the lower end of the rotating shaft. A spin plate is supported in a horizontal attitude by the plate supporting member. A substrate holding mechanism is provided at the periphery on the spin plate. The lower end of an annular member is formed to be opposite to an annular region extending along the periphery on the upper surface of the substrate held by a spin chuck. A distance between the upper surface of the substrate and the lower surface of the spin plate is larger than a distance between the upper surface of the substrate and the lower end of the annular member. | 10-03-2013 |
20130265555 | LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSURE METHOD, DEVICE FABRICATING METHOD, PROGRAM, AND RECORDING MEDIUM - A liquid immersion member forms a liquid immersion space on an object movable below an optical member so that the light path of exposure light emitted from an emission surface of an optical member is filled with liquid. The liquid immersion member includes a first member disposed in at least a portion of the periphery of the optical member, and a second member which is movable at the outside of at least a portion of the first member and which includes a recovery port that recovers at least a portion of the liquid in the liquid immersion space. | 10-10-2013 |
20130265556 | LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSING METHOD, METHOD FOR MANUFACTURING DEVICE, PROGRAM, AND RECORDING MEDIUM - A liquid immersion member forms a liquid immersion space on an object which is movable below an optical member so that an optical path of exposure light emitted from an emitting surface of the optical member is filled with liquid. The liquid immersion member includes a first member that has a first lower surface, a second member that has a second upper surface which faces the first lower surface via a gap and a second lower surface which the object is able to face, and that is movable with respect to the first member, and a recovery part that recovers at least a portion of a liquid from a second space, which is between the second lower surface and the object, at an outside of the second lower surface with respect to the optical path. | 10-10-2013 |
20130265557 | TEMPERATURE CONTROL IN EUV RETICLE INSPECTION TOOL - An apparatus comprises an optics assembly and a plate. The optics assembly configured to focus light from an EUV source onto a reticle or sensor. The plate has an opening to allow the EUV light to pass through disposed between the optics assembly and the reticle or sensor. The plate is cooled to a temperature less than that of the reticle or sensor. The plate is engineered to balance out heat absorbed from the reticle or sensor with heat absorbed by the plate. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 10-10-2013 |
20130271738 | MOVABLE BODY APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A stage device is equipped with two stages that can move along an XY plane above a stage base, a first magnet unit and a second magnet unit provided in the two stages, respectively, a planar motor which has a coil unit including a plurality of coils arranged two-dimensionally above the stage base that drives the two stages by a driving force generated by electromagnetic interaction with each of the first magnet unit and the second magnet unit. In a state where the two stages are in proximity within a predetermined distance or in contact with each other above the stage base in a Y-axis direction, a layout of magnets is decided so that no magnets structuring the first magnet unit and no magnets structuring the second magnet unit simultaneously face the same coil structuring the coil unit. | 10-17-2013 |
20130271739 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus where liquid supply operation and liquid recovery operation for forming a liquid immersion region are excellently performed to form the liquid immersion region in a desired condition, enabling high exposure accuracy and measurement accuracy to be achieved. An exposure apparatus (EX) is an apparatus that exposes a substrate (P) by emitting exposure light (EL) on the substrate (P) through liquid (LQ). The exposure apparatus has a liquid supply mechanism ( | 10-17-2013 |
20130278908 | EXPOSURE APPARATUS AND EXPOSURE METHOD, MAINTENANCE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes; a supply outlet that supplies a liquid to an optical path space of exposure light, and a liquid supply system that supplies an ionized ionic liquid to the supply outlet. | 10-24-2013 |
20130286365 | METHOD AND STRUCTURE FOR AUTOMATED INERT GAS CHARGING IN A RETICLE STOCKER - At least a first reticle is stored in a housing of a stocker. A first gas is delivered to the housing. At least one reticle pod having an additional reticle is delivered into a enclosure within the housing of the stocker. A second gas different from the first gas is delivered to the enclosure. The reticle pod is automatically retrieved from the enclosure. The delivery and retrieval of the reticle pod and delivery of the first gas and the second gas are automatically controlled. | 10-31-2013 |
20130286366 | APPARATUS AND METHOD TO CONTROL VACUUM AT POROUS MATERIAL USING MULTIPLE POROUS MATERIALS - An immersion liquid confinement apparatus confines an immersion liquid in an immersion area that includes a gap between a projection system and an object of exposure in an immersion lithography system. The apparatus also recovers the immersion liquid from the immersion area. The apparatus includes an aperture through which a patterned image is projected, an outlet, a first chamber into which the immersion liquid is recovered through the outlet, and a second chamber into which the immersion liquid is recovered through a porous member from the first chamber. The porous member has a first surface contacting the first chamber and a second surface contacting the second chamber. A vertical position of a first portion of the first surface is different from a vertical position of a second portion of the first surface. | 10-31-2013 |
20130293854 | EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD - The present invention provides an exposure apparatus which includes a projection optical system that projects a pattern of a reticle onto a substrate, and exposes the substrate through the projection optical system and a liquid, the apparatus including a recovery unit which includes a recovery path connected to a recovery port, and is configured to reduce a pressure in the recovery path to recover the liquid, supplied to a space between the projection optical system and the substrate, through the recovery port and the recovery path, and a heating unit which is disposed in the recovery path, and configured to heat the liquid recovered through the recovery port and the recovery path. | 11-07-2013 |
20130293855 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus exposes a substrate via a projection optical system and a liquid. The exposure apparatus includes a stage that is movable below the projection optical system while holding the substrate and a detector that is capable of detecting a liquid adhered to a rear surface of the substrate. As an alternative, or in addition, the apparatus can include a detector that is capable of detecting a liquid adhered to an optical member disposed at the stage. | 11-07-2013 |
20130293856 | COATING AND DEVELOPING APPARATUS, COATING FILM FORMING METHOD, AND STORAGE MEDIUM STORING PROGRAM FOR PERFORMING THE METHOD - Disclosed is a technique for preventing a water-repellent protective film formed on a resist film from peeling off during immersion exposure. A resist film is formed on the front surface of a substrate and then the peripheral edge portion of the resist film is removed. Before forming a water-repellent protective film onto the resist film, an adhesion-improving fluid, preferably hexamethyldisilazane gas, for improving the adhesion of the water-repellent protective film, is supplied to the region from which the resist film is removed. | 11-07-2013 |
20130301017 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic projection apparatus, a structure surrounds a space between the projection system and a substrate table of the lithographic projection apparatus. A gas seal is formed between said structure and the surface of said substrate to contain liquid in the space. | 11-14-2013 |
20130301018 | WAFER TABLE HAVING SENSOR FOR IMMERSION LITHOGRAPHY - A liquid immersion lithography apparatus and method exposes a substrate with light via a projection system and liquid. A table assembly has a top surface and is movable relative to the projection system while supporting the substrate. The top surface and the substrate are positionable opposite to the projection system such that the liquid is maintained between the projection system and a portion of one or both of the top surface and a surface of the substrate. A sensor has a top surface arranged at the top surface of the table assembly and is positionable opposite to the projection system such that a gap, in which the liquid can be maintained, is formed between the projection system and the top surface of the sensor. The top surfaces of the table assembly and of the sensor are apposed on a substantially same plane, or are substantially co-planar. | 11-14-2013 |
20130301019 | EXPOSURE METHOD AND APPARATUS, MAINTENANCE METHOD AND DEVICE MANUFACTURING METHOD - An exposure apparatus exposes a substrate with an exposure light via a projection optical system and a liquid, and includes a flow channel forming member and a substrate stage. The flow channel forming member forms an immersion area of the liquid at an image surface side of the projection optical system. The substrate stage has a wall portion provided so as to surround a support portion which supports the substrate, and a recovery port capable of recovering the liquid in a recess formed at an outside of the wall portion with respect to an optical axis of the projection optical system. When a cleaning is performed, a cleaning liquid is recovered via the recovery port. | 11-14-2013 |
20130301020 | APPARATUS AND METHOD FOR MAINTAINING IMMERSION FLUID IN THE GAP UNDER THE PROJECTION LENS DURING WAFER EXCHANGE IN AN IMMERSION LITHOGRAPHY MACHINE - An immersion exposure apparatus and method exposes a substrate with an exposure beam via an optical element and immersion liquid. A table mounts the substrate. A member is positionable under the optical element. A holding member is arranged to hold the member such that the member is located opposed to the optical element. Each of the table and the member are configured to maintain the immersion liquid below the optical element when located opposed to the optical element. The member is positionable to be away from a position below the optical element when the substrate, mounted on the table, is located opposed to the optical element. The table is movable to be away from below the optical element while the member is held opposed to the optical element. | 11-14-2013 |
20130301021 | APPARATUS AND METHOD FOR MAINTAINING IMMERSION FLUID IN THE GAP UNDER THE PROJECTION LENS DURING WAFER EXCHANGE IN AN IMMERSION LITHOGRAPHY MACHINE - An immersion exposure apparatus and method exposes a substrate with an exposure beam via an optical element and immersion liquid. The apparatus includes a substrate stage having a table and a member. The table mounts the substrate and is configured to maintain the immersion liquid below the optical element when located opposed to the optical element. The member is configured to maintain the immersion liquid below the optical element when, located opposed to the optical element. The substrate stage is configured such that, when the table is located opposed to the optical element, the table and the member are movable relative to the optical element in a state in which a surface of the table and a surface of the member are arranged adjacent to each other so that the immersion liquid below the optical element is thereby transferred from the table to the member. | 11-14-2013 |
20130301022 | APPARATUS AND METHOD FOR MAINTAINING IMMERSION FLUID IN THE GAP UNDER THE PROJECTION LENS DURING WAFER EXCHANGE IN AN IMMERSION LITHOGRAPHY MACHINE - An immersion exposure apparatus and method expose a substrate with an exposure beam via an optical assembly and via immersion liquid. A first stage on which a substrate is mounted is positioned below the optical assembly so that the immersion liquid is maintained in a space between the optical assembly and the substrate. The first stage is replaced below the optical assembly with a second stage while maintaining the immersion liquid below the optical assembly. The replacing includes arranging a movable member, which is independently movable relative to the first and second stages and away from below the optical assembly, to face the optical assembly so as to substantially maintain the immersion liquid below the optical assembly while the first and second substrate stages are away from below the optical assembly. A control system controls a drive system to move the first and second stages. | 11-14-2013 |
20130308107 | APPARATUS AND METHOD FOR MAINTAINING IMMERSION FLUID IN THE GAP UNDER THE PROJECTION LENS DURING WAFER EXCHANGE IN AN IMMERSION LITHOGRAPHY MACHINE - An immersion exposure apparatus and method exposes a substrate with a light beam via an optical element and immersion liquid. A first stage mounts the substrate and is movable relative to the optical element. A second stage is independently movable relative to the first stage and is positionable away from below the optical element. While the first stage is positioned below the optical element, the second stage is movable relative to the first stage so that the second stage is positioned adjacent to the first stage, and when the second stage is positioned adjacent to the first stage, the adjacent first and second stages are movable to locate the second stage opposed to the optical element in place of the first stage such that the immersion liquid is maintained below the optical element during the movement. | 11-21-2013 |
20130308108 | MEASURING METHOD, STAGE APPARATUS, AND EXPOSURE APPARATUS - An exposure apparatus can mitigate the impact of fluctuations in the refractive index of ambient gas, and improve, for example, stage positioning accuracy. An exposure apparatus radiates an exposure illumination light to a wafer on a wafer stage through a projection optical system, and forms a prescribed pattern on the wafer, and comprises: a scale, which is provided to the wafer stage; a plurality of X heads, which detect information related to the position of the scale; a measurement frame that integrally supports the plurality of X heads and has a coefficient of linear thermal expansion that is smaller than that of the main body of the wafer stage (portions excepting a plate wherein the scale is formed); and a control apparatus that derives information related to the displacement of the wafer stage based on the detection results of the plurality of X heads. | 11-21-2013 |
20130308109 | MEASURING METHOD, STAGE APPARATUS, AND EXPOSURE APPARATUS - An exposure apparatus can mitigate the impact of fluctuations in the refractive index of ambient gas, and improve, for example, stage positioning accuracy. An exposure apparatus radiates an exposure illumination light to a wafer on a wafer stage through a projection optical system, and forms a prescribed pattern on the wafer, and comprises: a scale, which is provided to the wafer stage; a plurality of X heads, which detect information related to the position of the scale; a measurement frame that integrally supports the plurality of X heads and has a coefficient of linear thermal expansion that is smaller than that of the main body of the wafer stage (portions excepting a plate wherein the scale is formed); and a control apparatus that derives information related to the displacement of the wafer stage based on the detection results of the plurality of X heads. | 11-21-2013 |
20130308110 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an embodiment, a lithographic projection apparatus has an off-axis image field and a concave refractive lens as the final element of the projection system. The concave lens can be cut-away in parts not used optically to prevent bubbles from being trapped under the lens. | 11-21-2013 |
20130321785 | EXPOSURE APPARATUS AND DEVICE FABRICATING METHOD - An exposure apparatus can suppress the occurrence of residual liquid. An exposure apparatus includes: a first stage that holds the substrate and is movable; a second stage that is movable independently of the first stage; and a liquid immersion mechanism that forms a liquid immersion region of a liquid on an upper surface of at least one stage of the first stage and the second stage; wherein, a recovery port that is capable of recovering the liquid is provided to the upper surface of the second stage. | 12-05-2013 |
20130329200 | POSITION MEASUREMENT METHOD, POSITION CONTROL METHOD, MEASUREMENT METHOD, LOADING METHOD, EXPOSURE METHOD AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure apparatus exposes an object with an exposure beam. The apparatus includes first and second stages, a measurement device and a controller. The first stage mounts the object. The second stage is movable relative to the first stage. The measurement device obtains position information of an outer periphery edge of the first stage. The controller controls at least one of a position of the first stage and a position of the second stage based on the position information of the outer periphery edge so that the first and second stages do not touch each other. | 12-12-2013 |
20130329201 | POSITION MEASUREMENT METHOD, POSITION CONTROL METHOD, MEASUREMENT METHOD, LOADING METHOD, EXPOSURE METHOD AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A loading method and apparatus loads an object via a carrier system in an exposure apparatus that exposes the object with an exposure beam via a projection optical system and a liquid. Position information of the depressed section is obtained by detecting a part of a stage that mounts the object in a depressed section placed at a part of an upper surface of the stage. The carrier system carries the object to above the stage placed at an exchange position of the object, the exchange position being distanced from the projection optical system. The object is loaded on the stage based on the position information of the depressed section so that the carried object is mounted in the depressed section. | 12-12-2013 |
20130335717 | IMMERSION EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD WITH MEASURING DEVICE - An exposure apparatus is capable of accurately performing an exposure process and a measurement process based on a liquid immersion method. The exposure apparatus, which forms a liquid immersion area of a liquid on an image surface side of a projection optical system, and exposes a substrate via the projection optical system and the liquid of the immersion area, includes a measuring device which measures at least one of a property and composition of the liquid for forming the liquid immersion area. | 12-19-2013 |
20140022522 | LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSING METHOD, METHOD FOR MANUFACTURING DEVICE, PROGRAM, AND RECORDING MEDIUM - A liquid immersion member is used in a liquid immersion exposure apparatus which exposes a substrate via liquid by exposure light, and forms a liquid immersion space above an object which is movable below the optical member. The liquid immersion member includes a first member that is disposed at at least a portion of surrounding of the optical member, and a second member that is disposed at at least a portion of surrounding of an optical path of the exposure light, that includes a second upper surface which is opposite to the first lower surface of the first member via a gap, a second lower surface which is capable of being opposite to the object, and a fluid recovery part which is disposed at at least a portion of surrounding of the second lower surface, and that is relatively movable with respect to the first member. | 01-23-2014 |
20140022523 | EXPOSURE APPARATUS, EXPOSURE METHOD, METHOD FOR PRODUCING DEVICE, AND OPTICAL PART - An exposure apparatus exposes a substrate by irradiating exposure light onto the substrate via a projection optical system and a liquid. The exposure apparatus has a stage which includes a substrate holder for holding the substrate, a detachable member detachably disposed on the stage so that its upper surface contacts an immersion region, and a sensor having a light transmissive member. The detachable member, on which an opening is formed in the upper surface, is disposed on the stage so that the light transmissive member is arranged within the opening. | 01-23-2014 |
20140028987 | EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD - An immersion lithography system includes a wafer stage, a lens for projecting an image onto a wafer located on the wafer stage, an immersion fluid supply for supplying immersion fluid between the lens and the wafer, and a purge fluid conveying device for conveying about the supplied immersion fluid a purge fluid saturated with a component of the immersion fluid. | 01-30-2014 |
20140043592 | OPTICAL ELEMENT AND EXPOSURE APPARATUS - An optical element is used for an exposure apparatus which is configured to illuminate a mask with an exposure light beam for transferring a pattern on the mask onto a substrate through a projection optical system and to interpose a given liquid in a space between a surface of the substrate and the projection optical system. The optical element includes a first anti-dissolution member provided on a surface of a transmissive optical element on the substrate's side of the projection optical system. | 02-13-2014 |
20140043593 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE - A liquid immersion exposure apparatus includes a nozzle member having a recovery port and an opening via which an exposure beam passes. A projection system includes a first element closest to an image surface and a second element which is second closest to the image surface. The first element has a first surface facing the image surface, a second surface facing a lower surface of the second element, an inclined outer surface extending upwardly and radially outwardly from the first surface and facing an inner surface of the nozzle member, and a flange portion provided above the inclined outer surface. A support member supports the flange portion of the first element. A substrate stage has a holder for holding a substrate to be exposed and moves the substrate below and relative to the nozzle member and the projection system. | 02-13-2014 |
20140049758 | MOVABLE BODY DRIVE METHOD AND MOVABLE BODY DRIVE SYSTEM, PATTERN FORMATION METHOD AND APPARATUS, EXPOSURE METHOD AND APPARATUS, AND DEVICE MANUFACTURING METHOD - During the drive of a stage, positional information in a movement plane of a stage is measured by three encoders that include at least one each of an X encoder and a Y encoder of an encoder system, and a controller switches an encoder used for a measurement of positional information of a stage in the movement plane from an encoder to an encoder so that the position of the stage in the movement plane is maintained before and after the switching. Therefore, although the switching of the encoder used for controlling the position of the stage is performed, the position of the stage in the movement plane is maintained before and after the switching, and a correct linkage becomes possible. | 02-20-2014 |
20140049759 | MOVABLE BODY DRIVE METHOD AND MOVABLE BODY DRIVE SYSTEM, PATTERN FORMATION METHOD AND APPARATUS, EXPOSURE METHOD AND APPARATUS, AND DEVICE MANUFACTURING METHOD - During the drive of a stage, positional information in a movement plane of a stage is measured by three encoders that include at least one each of an X encoder and a Y encoder of an encoder system, and a controller switches an encoder used for a measurement of positional information of a stage in the movement plane from an encoder to an encoder so that the position of the stage in the movement plane is maintained before and after the switching. Therefore, although the switching of the encoder used for controlling the position of the stage is performed, the position of the stage in the movement plane is maintained before and after the switching, and a correct linkage becomes possible. | 02-20-2014 |
20140055761 | LIQUID IMMERSION EXPOSURE APPARATUS - Provided is a liquid immersion exposure apparatus which is configured to include a table, a plate, an illumination unit, an exposure position movement unit, and relative position change units. A substrate is mounted on the table. An opening portion surrounding a circumferential edge portion of the substrate mounted on the table is installed in the plate. The illumination unit forms a liquid immersion area filled with liquid immersion water at an exposure position of the substrate mounted on the table, and the illumination unit illuminates an exposure light beam on the exposure position through the liquid immersion area. The exposure position movement unit moves the exposure position. The relative position change units change a relative position between the table and the plate. | 02-27-2014 |
20140055762 | OPTICAL ARRANGEMENT OF AUTOFOCUS ELEMENTS FOR USE WITH IMMERSION LITHOGRAPHY - A lithographic projection apparatus includes a projection system having a last element from which an exposure light is projected onto a wafer through liquid in a space under the last element. A light incident surface of the last element has a convex shape. A liquid retaining member is disposed adjacent to a surface of the last element through which the exposure light does not pass. The liquid retaining member has an undersurface under which liquid is retained. A gap is formed between the liquid retaining member and the surface of the last element, the gap being in fluidic communication with the space. The liquid is retained between the last element and the undersurface of the liquid retaining member on one side and an upper surface of the wafer on the other side. The liquid locally covers a portion of the upper surface of the wafer. | 02-27-2014 |
20140055763 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE - An exposure apparatus exposes a substrate by irradiating exposure light on the substrate through liquid. The exposure apparatus has a substrate holder for holding the substrate, a substrate stage capable of moving the substrate held by the substrate holder, and a temperature adjusting system for adjusting the temperature of the substrate holder. The temperature of the substrate is controlled so that there is no difference in temperature between the substrate and the liquid, thereby preventing a reduction in exposure accuracy resulting from variation in temperature of the liquid. | 02-27-2014 |
20140063476 | METHODS AND APPARATUSES FOR TEMPLATE COOLING - Disclosed are cooling apparatus and methods of cooling a template. The cooling apparatus includes a reticle and an optical cooling material. The reticle includes patterning for at least partially reflecting patterning radiation incident on a first side of the reticle. The optical cooling material is in thermally-conductive coupling with the reticle mount and is configured to produce cooling when exposed to a laser radiation. More particularly, the optical cooling material includes a glass material that exhibits anti-Stokes fluorescence that produces cooling of the glass material when exposed to an infrared laser beam. In some embodiments, the cooling apparatus may be incorporated with a reticle mount. The reticle mount is in thermally-conductive coupling with a second side of the reticle. | 03-06-2014 |
20140063477 | EUV EXPOSURE APPARATUS AND CLEANING METHOD - According to one embodiment, an EUV exposure apparatus includes a mirror which reflects an EUV light beam irradiated from a light source and a wafer stage which is irradiated with the EUV light beam reflected by the mirror. When exposure of a first wafer is to be performed, the first wafer is mounted on the wafer stage, and the wafer stage allows the first wafer to be irradiated with the EUV light beam. In addition, when cleaning of the mirror is to be performed, the EUV light beam is reflected by a reflection substrate, and the wafer stage allows the mirror to be irradiated with the reflected light beam. | 03-06-2014 |
20140071412 | IMMERSION LITHOGRAPHY APPARATUS AND METHOD HAVING MOVABLE LIQUID DIVERTER BETWEEN IMMERSION LIQUID CONFINEMENT MEMBER AND SUBSTRATE - An immersion lithography apparatus that includes a substrate holder on which a substrate is held, a projection system having a final optical element and that projects an exposure beam onto the substrate through an immersion liquid, and a liquid confinement member having an aperture through which the exposure beam is projected, a lower surface including a non-fluid removal area surrounding the aperture, and a liquid recovery outlet from which the immersion liquid is recovered, also includes a movable member. The movable member is movable relative to the liquid confinement member in a substantially horizontal direction, and has an opening through which the exposure beam is projected. The movable member has upper and lower surfaces that surround the opening, and is movable while a portion of the upper surface faces the non-fluid removal area in the lower surface of the liquid confinement member. | 03-13-2014 |
20140085618 | PARTICLE CONTROL NEAR RETICLE AND OPTICS USING SHOWERHEAD - A method and an apparatus to protect a reticle against particles and chemicals in an actinic EUV reticle inspection tool are presented. The method and apparatus utilizes a pair of porous metal diffusers in the form of showerheads to provide a continual flow of clean gas. The main showerhead bathes the reticle surface to be inspected in smoothly flowing, low pressure gas, isolating it from particles coming from surrounding volumes. The secondary showerhead faces away from the reticle and toward the EUV illumination and projection optics, supplying them with purge gas while at the same time creating a buffer zone that is kept free of any particle contamination originating from those optics. | 03-27-2014 |
20140098352 | DEVICE FOR CONTROLLING TEMPERATURE OF AN OPTICAL ELEMENT - A device serves for controlling temperature of an optical element provided in vacuum atmosphere. The device has a cooling apparatus having a radiational cooling part, arranged apart from the optical element, for cooling the optical element by radiation heat transfer. A controller serves for controlling temperature of the radiational cooling part. Further, the device comprises a heating part for heating the optical element. The heating part is connected to the controller for controlling the temperature of the heating part. The resulting device for controlling temperature in particular can be used with an optical element in a EUV microlithography tool leading to a stable performance of its optics. | 04-10-2014 |
20140098353 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A liquid confinement system for use in immersion lithography is disclosed in which the meniscus of liquid between the liquid confinement system and the substrate is pinned substantially in place by a meniscus pinning feature. The meniscus pinning feature comprises a plurality of discrete outlets arranged in a polygonal shape. | 04-10-2014 |
20140104586 | SUBSTRATE PROCESSING METHOD - A substrate processing method for a substrate having a photosensitive film on a top surface thereof, includes cleaning a back surface of the substrate after the formation of the photosensitive film and before exposure processing; transporting the substrate to a temperature adjuster such as a cooling unit, while holding the substrate with a first holder; adjusting a temperature of the substrate with the temperature adjuster; transporting the substrate from the temperature adjuster to the exposure device with a second holder; and transporting the substrate after the exposure processing to a first platform while holding the substrate with a third holder. | 04-17-2014 |
20140111781 | METHOD AND APPARATUS FOR ULTRAVIOLET (UV) PATTERNING WITH REDUCED OUTGASSING - A method and apparatus for ultraviolet (UV) and extreme ultraviolet (EUV) lithography patterning is provided. A UV or EUV light beam is generated and directed to the surface of a substrate disposed on a stage and coated with photoresist. A laminar flow of a layer of inert gas is directed across and in close proximity to the substrate surface coated with photoresist during the exposure, i.e. lithography operation. The inert gas is exhausted quickly and includes a short resonance time at the exposure location. The inert gas flow prevents flue gasses and other contaminants produced by outgassing of the photoresist, to precipitate on and contaminate other features of the lithography apparatus. | 04-24-2014 |
20140111782 | LITHOGRAPHY APPARATUS, METHOD FOR LITHOGRAPHY AND STAGE SYSTEM - Provided are a lithography apparatus, a method for lithography and a stage system. The lithography apparatus includes a reticle stage having a reticle, at least one nozzle on at least one surface of the reticle stage and configured to allow shielding gas to flow to a surface of the reticle to form an air curtain, and a gas supply unit configured to supply the nozzle with the shielding gas. | 04-24-2014 |
20140111783 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE - An exposure apparatus includes a nozzle member which has at least one of a supply port for supplying a liquid and a recovery port for recovering the liquid, and a nozzle adjusting mechanism which adjusts at least one of a position and a posture of the nozzle member depending on a position or a posture of a substrate. The exposure apparatus forms an immersion area of the liquid on the substrate, and performs exposure for the substrate through the liquid in the immersion area. Accordingly, the liquid is satisfactorily retained between the projection optical system and the substrate, thereby making it possible to realize the exposure highly accurately. | 04-24-2014 |
20140118709 | HOLDING APPARATUS, LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a holding apparatus which includes a base having, on a surface thereof, a convex portion for supporting a back surface of a substrate and a containing portion for containing a liquid, and supports the substrate via the convex portion and the liquid, the apparatus including a heat storage structure including a latent heat storage member configured to store heat transferred from the substrate, and arranged in the containing portion, and a member configured to exert, to the heat storage structure, a force in a first direction from the base to the substrate. | 05-01-2014 |
20140118710 | STAGE APPARATUS, LITHOGRAPHY APPARATUS, AND ARTICLE MANUFACTURING METHOD - A stage apparatus has a base; a movable portion including a stage movable relative to the base; a flexible utility line for supplying utility to the movable portion; and a cover for covering the utility line, the cover including: a housing member provided to one of the base and the movable portion, in which an opening is formed; and a sealing member provided to the other of the base and the movable portion, which is arranged opposite to a side, in which the opening is formed, of the housing member with a gap therebetween, and has a side for sealing the opening. | 05-01-2014 |
20140132939 | CLEANUP METHOD FOR OPTICS IN IMMERSION LITHOGRAPHY - An immersion lithography apparatus and method places an object for a cleanup process on a holder of a movable stage of the immersion lithography apparatus, a wafer being held on the holder of the stage and exposed during a liquid immersion lithography process. During the liquid immersion lithography process, device pattern projection is performed and a device pattern image is projected onto the wafer held on the holder to fabricate semiconductor devices. During the cleanup process, a liquid is supplied via a supply port from above the stage holding the object on the holder. During the cleanup process, the object is held on the holder in place of the wafer and the object is used without performing device pattern projection. | 05-15-2014 |
20140146297 | Methods and Apparatus for Inspection of Articles, EUV Lithography Reticles, Lithography Apparatus and Method of Manufacturing Devices - An EUV lithography reticle is inspected to detect contaminant particles. The inspection apparatus comprises illumination optics with primary radiation. An imaging optical system with plural branches is arranged to form and detect a plurality of images, each branch having an image sensor and forming its image with a different portion of radiation received from the illuminated article. A processor combines information from the detected images to report on the presence and location of contaminant particles. In one or more branches the primary radiation is filtered out, so that the detected image is formed using only secondary radiation emitted by contaminant material in response to the primary radiation. In a dark field imaging branch using the scattered primary radiation, a spatial filter blocks spatial frequency components associated with periodic features of the article under inspection, to allow detection of particles which cannot be detected by secondary radiation. | 05-29-2014 |
20140160450 | RADIATION SOURCE AND METHOD FOR LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A radiation source for generating EUV from a stream of molten fuel droplets by LPP (Laser Produced Plasma) or (Dual Laser Plasma) has a fuel droplet generator arranged to provide a stream of droplets of fuel and at least one laser configured to vaporize at least some of the droplets of fuel, whereby radiation is generated. The fuel droplet generator has a nozzle, a feed chamber, and a reservoir, with a pumping device arranged to supply a flow of fuel in molten state from the reservoir through the feed chamber and out of the nozzle as a stream of droplets. The feed chamber has an outer face in contact with a drive cavity filled with a liquid, and the liquid is driven to oscillate by a vibrator with the oscillation transmissible to the molten fuel in the feed chamber from the outer face of the feed chamber through the liquid. | 06-12-2014 |
20140176920 | LITHOGRAPHY SYSTEM, METHOD OF CLAMPING AND WAFER TABLE - The invention relates to a lithography system, for example for projecting an image or an image pattern on to a target ( | 06-26-2014 |
20140176921 | OPTICAL ASSEMBLY WITH SUPPRESSION OF DEGRADATION - An optical assembly including: a beam generating system generating radiation ( | 06-26-2014 |
20140176922 | Debris Removal in High Aspect Structures - A system for removing debris from a surface of a photolithographic mask is provided. The system includes an atomic force microscope with a tip supported by a cantilever. The tip includes a surface and a nanometer-scaled coating disposed thereon. The coating has a surface energy lower than the surface energy of the photolithographic mask. | 06-26-2014 |
20140185023 | APPARATUS FOR REMOVING SOLVENT AND PHOTOLITHOGRAPHY APPARATUS USING THE SAME - A solvent removing apparatus is disclosed. One aspect includes a chamber, a first pump, a silencer, a valve, and a discharge unit. The chamber dries a coating layer formed on a substrate. The first pump intakes a solvent evaporated from the coating layer of the chamber and discharges the inhaled solvent. The silencer reduces discharge noise of the first pump and accommodates a liquefied solvent of the evaporated solvent provided from the first pump. The valve discharges the liquefied solvent accommodated in the silencer. The discharge unit discharges the evaporated solvent provided by the silencer. | 07-03-2014 |
20140185024 | PROJECTION OBJECTIVE OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A projection objective of a microlithographic projection exposure apparatus comprises a wavefront correction device comprising a refractive optical element that has two opposite optical surfaces, through which projection light passes, and a circumferential rim surface extending between the two optical surfaces. A first and a second optical system are configured to direct first and second heating light to different portions of the rim surface such that at least a portion of the first and second heating light enters the refractive optical element. A temperature distribution caused by a partial absorption of the heating light results in a refractive index distribution inside the refractive optical element that corrects a wavefront error. At least the first optical system comprises a focusing optical element that focuses the first heating light in a focal area such that the first heating light emerging from the focal area impinges on the rim surface. | 07-03-2014 |
20140211175 | ENHANCING RESOLUTION IN LITHOGRAPHIC PROCESSES USING HIGH REFRACTIVE INDEX FLUIDS - An approach for enhancing resolution in a lithographic process (e.g., an immersion lithographic process) is provided. Specifically, a material having a high reflexive index (e.g., water) is provided on opposite sides of an objective lens. This allows a set of light rays (high intensity) to be directed/passed from a light source, through a condenser lens, over a mask, through the material positioned on one side of the objective lens, through the objective lens, through the material on the opposite side of the objective lens, and to a wafer that is then patterned. Positioning the material on both sides of the objective lens allows for improved resolution and lithographic patterning of the wafer for both on-axis illumination and off-axis illumination techniques. | 07-31-2014 |
20140211176 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus and method exposes a substrate via a projection optical system and a liquid supplied to an immersion area below the projection optical system. First and second movable members move below the projection optical system. The first movable member has a mount area that mounts a substrate located in a hole of an upper surface of the first movable member. The upper surface outside the hole can maintain at least a part of the immersion area outside the substrate mounted in the hole. A drive system drives the first and second movable members to move the first and second movable members below the projection optical system relative to the liquid immersion region to replace one member positioned below the projection optical system with the other member such that the liquid immersion area is maintained below the projection optical system. | 07-31-2014 |
20140211177 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus exposes a substrate with an energy beam via a projection system and liquid and an immersion member forms an immersion area under the projection system with the liquid. A controller controls a stage system having first and second substrate holding members that hold first and second substrates, (i) to execute a relative movement between the first and second substrate holding members such that, while one of the substrate holding members is arranged opposed to the projection system, the other of the substrate holding members comes close to the one substrate holding members, and (ii) to move the close substrate holding members relative to the immersion member below the projection system such that the other of the substrate holding members is arranged opposite to the projection system in place of the one substrate holding members while substantially maintaining the immersion area under the projection system. | 07-31-2014 |
20140232998 | SEMICONDUCTOR MANUFACTURING APPARATUS AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A semiconductor manufacturing apparatus according to the present embodiment comprises a vacuum chamber. A first stage is configured to temporarily attach a reticle thereonto in order to attract a foreign material present on a back surface of the reticle. A second stage is configured to attach the reticle thereonto after attaching the reticle onto the first stage in order to expose a semiconductor substrate to light using the reticle within the vacuum chamber. An exposure unit is configured to expose a surface of the semiconductor substrate to the light using the reticle attached onto the second stage. | 08-21-2014 |
20140232999 | APPARATUS AND METHODS FOR KEEPING IMMERSION FLUID ADJACENT TO AN OPTICAL ASSEMBLY DURING WAFER EXCHANGE IN AN IMMERSION LITHOGRAPHY MACHINE - A lithographic projection apparatus includes a stage assembly having a substrate table on which a substrate is supported and exposed with an exposure beam from a final optical element of a projection optical assembly through an immersion liquid. A confinement member encircles a portion of a path of the exposure beam and has an aperture through which the exposure beam is projected. A movable member is movable in a space between the confinement member and the substrate, the substrate table, or both, such that a first portion of the space is located between a first surface of the movable member and the confinement member, and a second portion of the space is located between a second surface of the movable member and the substrate, the substrate table, or both. | 08-21-2014 |
20140247435 | RADIATION SOURCE DEVICE, LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHOD - In a discharge-produced plasma source, a pair of electrodes is charged using a transmission line. In an embodiment, a pair of transmission lines may be used, connected symmetrically to the electrodes. The impedance of the transmission lines, or the total impedance of the transmission lines, is equal to that of the discharge in an embodiment. Use of a transmission line provides longer discharge pulses with more consistent potential difference. | 09-04-2014 |
20140253886 | EXPOSURE APPARATUS, EXPOSING METHOD, DEVICE MANUFACTURING METHOD, PROGRAM, AND RECORDING MEDIUM - An exposure apparatus exposes a substrate by exposure light via liquid between an emitting surface of an optical member and the substrate. The exposure apparatus includes: a liquid immersion member configured to form an immersion liquid space on an object and including a first and second member, the first being disposed at at least a portion of surrounding of the optical member, the second being disposed at at least a portion of surrounding of an optical path of the exposure light below the first member, being movable with respect to the first member and including a second upper and lower surface, the second upper surface being opposite a first lower surface of the first member via a gap, the second lower surface being capable of being opposite to the object, which is movable below the optical member; and a vibration isolator configured to suppress a vibration of the first member. | 09-11-2014 |
20140253887 | CONTAMINATION PREVENTION FOR PHOTOMASK IN EXTREME ULTRAVIOLET LITHOGRAPHY APPLICATION - Embodiments of the present invention provide methods and apparatus for removing debris particles using a stream of charged species. In one embodiment, an apparatus for removing debris particles from a beam of radiation includes a mask station comprising a chamber body, a mask stage disposed in the mask station, and a conductive plate having an opening formed therein, wherein the conductive plate is disposed in a spaced apart relationship to the mask stage in the mask station, defining an interior volume between the mask stage and the conductive plate. | 09-11-2014 |
20140253888 | LIQUID JET AND RECOVERY SYSTEM FOR IMMERSION LITHOGRAPHY - A liquid immersion lithography apparatus includes a projection system, an opening from which liquid is supplied to a space under the projection system, the opening being connectable to a liquid source via a flow passage to supply the liquid to the space and the opening being connectable to a vacuum source via the flow passage, and a holding member by which a substrate is held, the holding member being movable below the projection system and the opening. The substrate held by the holding member is exposed through the liquid that is supplied from the opening and that covers only a portion of an upper surface of the substrate. | 09-11-2014 |
20140253889 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE - An exposure apparatus includes a projection system having a final element that projects exposure light to an upper surface of a substrate through liquid between the final element and the substrate. A liquid confinement member has a recovery outlet, via which the liquid is removed along with gas, arranged such that the upper surface of the substrate faces the recovery outlet, and the recovery outlet surrounds a path of the exposure light. The liquid confinement member confines the liquid to an area smaller than an area of the upper surface of the substrate by removing the liquid via the recovery outlet from a gap between the liquid confinement member and the upper surface of the substrate. A first support member supports the projection system, and a second support member supports the liquid confinement member, wherein the projection system is isolated from vibrations of the liquid confinement member. | 09-11-2014 |
20140268074 | Lithography System with an Embedded Cleaning Module - The present disclosure provides a lithography system. The lithography system includes an exposing module configured to perform a lithography exposing process using a mask secured on a mask stage; and a cleaning module integrated in the exposing module and designed to clean at least one of the mask and the mask stage using an attraction mechanism. | 09-18-2014 |
20140285781 | LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSING METHOD, METHOD OF MANUFACTURING DEVICE, PROGRAM, AND RECORDING MEDIUM - A liquid immersion member used in an immersion exposure apparatus, and capable of forming a liquid immersion space on a surface of an object opposite to an emitting surface of an optical member which emits exposure light. The liquid immersion member includes a first member that includes a first part disposed at surrounding of an optical path of the exposure light, and in which a first opening part, through which the exposure light is able to pass, and a first liquid supply part, is disposed at at least a portion of surrounding of the first opening part and capable of opposing the surface of the object, are provided at the first part, and a second member includes a first liquid recovery part which can be opposing the surface of the object and is movable with respect to the first member outside the first part with respect to the optical path. | 09-25-2014 |
20140293248 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an immersion lithography apparatus or device manufacturing method, the position of focus of the projected image is changed during imaging to increase focus latitude. In an embodiment, the focus may be varied using the liquid supply system of the immersion lithographic apparatus. | 10-02-2014 |
20140293249 | EXPOSURE APPARATUS AND METHOD FOR PRODUCING DEVICE - There is provided an exposure apparatus capable of forming a desirable device pattern by removing unnecessary liquid when performing exposure by projecting a pattern onto the substrate via a projection optical system and the liquid. The exposure device projects an image of the pattern onto the substrate via the projection optical system and the liquid so as to expose the substrate. The exposure device includes a liquid removing mechanism which removes the liquid remaining on a part arranged in the vicinity of the image plane of the projection optical system. | 10-02-2014 |
20140300875 | LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSING METHOD, METHOD OF MANUFACTURING DEVICE, PROGRAM, AND RECORDING MEDIUM - A liquid immersion member is used in a liquid immersion exposure apparatus which exposes a substrate by exposure light via a first liquid between an emitting surface of an optical member and the substrate, and is capable of forming a liquid immersion space on an object movable below the optical member. The liquid immersion member includes a first member that is disposed at at least a portion of surrounding of the optical member; a second member that includes at least a portion disposed below the first member, that is capable of being opposite to the object and that is movable outside an optical path of the exposure light; and a protection part that protects the optical member. The protection part decreases a change in pressure which the optical member receives from the liquid in the liquid immersion space. | 10-09-2014 |
20140300876 | OPTICAL ARRANGEMENT, EUV LITHOGRAPHY APPARATUS AND METHOD FOR CONFIGURING AN OPTICAL ARRANGEMENT - The invention relates to an optical arrangement comprising: at least one optical element comprising an optical surface and a substrate, wherein the substrate is formed from a material whose temperature-dependent coefficient of thermal expansion at a zero crossing temperature ΔT | 10-09-2014 |
20140307235 | EXPOSURE APPARATUS, EXPOSING METHOD, DEVICE MANUFACTURING METHOD, PROGRAM, AND RECORDING MEDIUM - A liquid immersion member including first and second members forming the immersion space; first member having a first lower surface disposed at a portion of the optical member surrounding, second member having a second upper surface opposite to the first lower surface via a gap and a second lower surface opposing the substrate and second member disposed at a portion of exposure light optical path surrounding; driving apparatus to move the second member with respect to the first; controlling the driving apparatus so the second member's operation in the substrate first operation movement is between exposure termination and start of a first and second shot regions differently from a second member's operation in the substrate second movement period which is between exposure termination and start of a third and fourth shot regions; first and second shot regions are in the same row contrary to third and fourth shot regions. | 10-16-2014 |
20140307236 | PRINTER - A printer includes: a mask; a cleaning section configured to perform cleaning of the mask by movement relative to the mask in a predetermined direction parallel with an under surface of the mask; a mask holding section capable of turning the mask about an axis perpendicular to the under surface of the mask while holding the mask; and a control section configured to perform a control such that the cleaning is performed on the mask placed in a predetermined position about the axis. | 10-16-2014 |
20140307237 | PELLICLE FRAME AND PELLICLE WITH THIS - A pellicle is proposed in which the frame is made of an aluminum alloy and at least the inner wall of the frame is entirely coated with a pure aluminum layer, which preferably has a purity of 99.7% or higher and a thickness of 3 through 50 micrometers; the pellicle frame with the pure aluminum layer may be subjected to anodic oxidation treatment, electric coloring, and void filling, and a resin layer can be formed as the outermost layer. | 10-16-2014 |
20140307238 | EXPOSURE APPARATUS AND METHOD FOR PRODUCING DEVICE - A liquid immersion exposure apparatus exposes a substrate with an exposure beam via a liquid immersion area formed on a portion of a surface of the substrate. The apparatus includes a projection system, a first nozzle member having an aperture through which the exposure beam is projected, the first nozzle member having a liquid supply inlet and a liquid recovery outlet, a second nozzle member having a gas supply inlet via which a gas is supplied to a space surrounding the liquid immersion area during the exposure, a driving system which moves the second nozzle member relative to the first nozzle member, and a stage system having a holder which holds the substrate and which is movable relative to and below the projection system, the first nozzle member and the second nozzle member. | 10-16-2014 |
20140307239 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS HAVING A TEMPERATURE CONTROL DEVICE - An illumination system of a microlithographic projection exposure apparatus includes a primary light source, a system pupil surface and a mirror array. The mirror array is arranged between the primary light source and the system pupil surface. The mirror array includes a plurality of adaptive mirror elements. Each mirror element includes a mirror support and a reflective coating. Each mirror element is configured to direct light produced by the primary light source towards the system pupil surface. The mirror elements can be tiltably mounted with respect to a support structure. The mirror elements include structures having a different coefficient of thermal expansion and being fixedly attached to one another. A temperature control device is configured to variably modify the temperature distribution within the structures to change the shape of the mirror elements. | 10-16-2014 |
20140313493 | EXPOSURE APPARATUS AND A METHOD FOR EXPOSING A PHOTOSENSITIVE ELEMENT AND A METHOD FOR PREPARING A PRINTING FORM FROM THE PHOTOSENSITIVE ELEMENT - The invention pertains to an exposure apparatus, a method for exposing a photosensitive element to radiation using the exposure apparatus, and a method for preparing a printing form from the photosensitive element. The exposure apparatus includes a base assembly having an exposure bed that supports the photosensitive element, and a lamp housing assembly having one or more lamps. The base assembly includes an assembly for controlling the temperature of the exposure bed to heat and cool the bed; and an assembly configured to remove air between the photosensitive element and an exterior top surface of the exposure bed. | 10-23-2014 |
20140313494 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic projection apparatus, a liquid supply system maintains liquid in a space between a projection system of the lithographic projection apparatus and a substrate. A sensor positioned on a substrate table, which holds the substrate, is configured to be exposed to radiation when immersed in liquid (e.g., under the same conditions as the substrate will be exposed to radiation). By having a surface of an absorption element of the sensor, that is to be in contact with liquid, formed of no more than one metal type, long life of the sensor may be obtained. | 10-23-2014 |
20140313495 | OPTICAL ELEMENT AND PROJECTION EXPOSURE APPARATUS BASED ON USE OF THE OPTICAL ELEMENT - An optical element for use in an exposure apparatus configured to illuminate a mask with an exposure light beam for transferring a pattern on the mask onto a substrate through a projection optical system and to interpose a given liquid in a space between a surface of the substrate and the projection optical system. The optical element includes a first anti-dissolution member provided directly on a surface of a transmissive optical element on the substrate's side of the projection optical system, and a second anti-dissolution member formed on the first anti-dissolution member on the substrate's side of the first anti-dissolution member. | 10-23-2014 |
20140320831 | ENVIRONMENTAL SYSTEM INCLUDING VACUUM SCAVENGE FOR AN IMMERSION LITHOGRAPHY APPARATUS - A liquid immersion exposure apparatus includes an optical assembly having a final optical element, from which exposure light is projected through immersion liquid filling an optical path of the exposure light under the final optical element, a containment member surrounding a tip portion of the optical assembly, and a movable stage to hold a substrate and having an upper surface around the held substrate. An apparatus frame supports the optical assembly and the containment member, and an optical mount isolator, which has an actuator, isolates the optical assembly from vibrations of the apparatus frame. A first inlet of the containment member faces at least one of the substrate and the stage and collects fluid from a gap between the containment member and the at least one of the substrate and the stage. A gas supply outlet of the containment member supplies gas to the gap. | 10-30-2014 |
20140327891 | LITHOGRAPHY PROJECTION OBJECTIVE, AND A METHOD FOR CORRECTING IMAGE DEFECTS OF THE SAME - A lithography projection objective for imaging a pattern in an object plane onto a substrate in an image plane. The projection objective comprises a multiplicity of optical elements along an optical axis. The optical elements comprise a first group of optical elements following the object plane, and a last optical element, following the first group and next to the image plane. The projection objective is tunable or tuned with respect to aberrations for the case that the volume between the last optical element and the image plane is filled by an immersion medium with a refractive index substantially greater than 1. The position of the last optical element is adjustable in the direction of the optical axis. A positioning device is provided that positions at least the last optical element during immersion operation such that aberrations induced by disturbance are at least partially compensated. | 11-06-2014 |
20140327892 | METHOD OF OPERATING A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS AND PROJECTION OBJECTIVE OF SUCH AN APPARATUS - A projection objective of a microlithographic projection exposure apparatus has a wavefront correction device comprising a first refractive optical element and a second refractive optical element. The first refractive optical element comprises a first optical material having, for an operating wavelength of the apparatus, an index of refraction that decreases with increasing temperature. The second refractive optical element comprises a second optical material having, for an operating wavelength of the apparatus, an index of refraction that increases with increasing temperature. In a correction mode of the correction device, a first heating device produces a non-uniform and variable first temperature distribution in the first optical material, and a second heating device produces a non-uniform and variable second temperature distribution in the second optical material. | 11-06-2014 |
20140327893 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is disclosed in which at least a part of the liquid supply system (which provides liquid between the projection system and the substrate) is moveable in a plane substantially parallel to a top surface of the substrate during scanning. The part is moved to reduce the relative velocity between that part and the substrate so that the speed at which the substrate may be moved relative to the projection system may be increased. | 11-06-2014 |
20140333909 | EXPOSURE APPARATUS, EXPOSURE METHOD, METHOD FOR MANUFACTURING DEVICE - An exposure apparatus exposes a substrate via a projection optical system and liquid. The exposure apparatus includes a first pipe section that flows liquid supplied from a liquid supplying device, and a second pipe section that is disposed at an outside of the first pipe section so as to surround the first pipe section and flows liquid supplied from the liquid supplying device. | 11-13-2014 |
20140333910 | IMMERSION PHOTOLITHOGRAPHY SYSTEM AND METHOD USING MICROCHANNEL NOZZLES - A liquid immersion photolithography system includes an exposure system that exposes a substrate with electromagnetic radiation and includes a projection optical system that focuses the electromagnetic radiation on the substrate. A liquid supply system provides liquid flow between the projection optical system and the substrate. An optional plurality of micronozzles are arranged around the periphery of one side of the projection optical system so as to provide a substantially uniform velocity distribution of the liquid flow in an area where the substrate is being exposed. | 11-13-2014 |
20140340659 | Lithographic Apparatus and Device Manufacturing Method - A lithographic apparatus component, such as a metrology system or an optical element (e.g., a mirror) is provided with a temperature control system for controlling deformation of the component. The control system includes channels provided close to a surface of the component through which a two phase cooling medium is supplied. The metrology system measures a position of at least a moveable item with respect to a reference position and includes a metrology frame connected to the reference position. An encoder is connected to the moveable item and constructed and arranged to measure a relative position of the encoder with respect to a reference grid. The reference grid may be provided directly on a surface of the metrology frame. A lithographic projection apparatus may have the metrology system for measuring a position of the substrate table with respect to the projection system. | 11-20-2014 |
20140347640 | SUBSTRATE PROCESSING APPARATUS - The invention relates to a substrate processing apparatus ( | 11-27-2014 |
20140347641 | DEVICE, LITHOGRAPHIC APPARATUS, METHOD FOR GUIDING RADIATION AND DEVICE MANUFACTURING METHOD - A device having a waveguide formed of a continuous body of material that is transparent to radiation that passes through the waveguide, wherein the body has an input surface and an output surface, and a cooler configured to cool the input surface and/or the output surface. An exposure apparatus having a programmable patterning device that comprises a plurality of radiation emitters, configured to provide a plurality of radiation beams; and a projection system, comprising a stationary part and a moving part, configured to project the plurality of radiation beams onto locations on a target that are selected based on a pattern, wherein at least one of the radiation emitters comprises a waveguide configured to output a radiation beam that comprises unpolarized and/or circularly polarized radiation. | 11-27-2014 |
20140347642 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus is disclosed for use with an immersion liquid positioned between the projection system and a substrate, Several methods and mechanism are disclosed to protect components of the projection system, substrate table and a liquid confinement system. These include providing a protective coating on a final element of the projection system as well as providing one or more sacrificial bodies upstream of the components. A two component final optical element of CaF | 11-27-2014 |
20140354965 | IMMERSION LIQUID REPLENISHING APPARATUS, REPLENISHING METHOD, AND WAFER SCRIBING LINES INSPECTION MACHINE WITH IMMERSION LIQUID REPLENISHING APPARATUS - The present invention discloses an immersion liquid replenishing apparatus, a replenishing method, and a wafer scribing lines inspection machine with the immersion liquid replenishing apparatus. The immersion liquid replenishing apparatus inputs an immersion liquid from a first pipe of the cover and outputs the immersion liquid from a second pipe of the bottom of a reservoir. The replenishing method comprises the steps of providing an immersion liquid replenishing apparatus, feeding an immersion liquid, forming a film, and discharging the immersion liquid. The production and preparation of the apparatus and method of the invention is convenient and cheap. During a process of wafer scribing lines inspection, the wafer scribing lines inspection machine with the immersion liquid replenishing apparatus has enough immersion liquid to form the film and discharges excess immersion liquid. | 12-04-2014 |
20140354966 | MOVABLE BODY DRIVE METHOD AND MOVABLE BODY DRIVE SYSTEM, PATTERN FORMATION METHOD AND APPARATUS, EXPOSURE METHOD AND APPARATUS, DEVICE MANUFACTURING METHOD, AND CALIBRATION METHOD - A controller measures positional information of a stage within an XY plane using three encoders which at least include one each of an X encoder and a Y encoder of an encoder system, and the stage is driven in the XY plane, based on measurement results of the positional information and positional information (p | 12-04-2014 |
20140354967 | ENVIRONMENTAL SYSTEM INCLUDING A TRANSPORT REGION FOR AN IMMERSION LITHOGRAPHY APPARATUS - An immersion lithography apparatus includes (i) an optical assembly including an optical element, and configured to project a beam onto a substrate through an immersion liquid; (ii) a containment member that surrounds a path of the beam; and (iii) a stage on which the substrate is held, the substrate on the stage being moved below and spaced from a bottom surface of the containment member. The containment member includes: (1) a nozzle outlet via which water as the immersion liquid is released, (2) a recovery channel via which the immersion liquid is recovered from a gap between the containment member and the substrate and/or the stage, and (3) a fluid channel via which water is released to the gap between the containment member and the substrate and/or the stage, the fluid channel being provided radially inward of the recovery channel. | 12-04-2014 |
20140362353 | TEMPERATURE ADJUSTING APPARATUS OF MASK SUBSTRATE, MASK DRAWING APPARATUS, AND MASK DRAWING METHOD - A temperature adjusting apparatus of a mask substrate according to an embodiment is used in a mask drawing apparatus drawing a desired pattern by irradiating a charged particle beam to a mask substrate after a temperature of the mask substrate is adjusted in advance. The temperature adjusting apparatus includes a supporting member supporting the mask substrate; and first and second temperature adjusting plates facing each other with sandwiching the supporting member, the plates having a plurality of first and second regions respectively, and each temperatures of the first and second regions being capable to be independently adjusted. | 12-11-2014 |
20140362354 | INFORMATION CALCULATION METHOD, EXPOSURE APPARATUS, EXPOSURE METHOD, DEVICE MANUFACTURING METHOD, PROGRAM, AND RECORDING MEDIUM - An information calculation method includes: calculating liquid information regarding a liquid on an object, which faces an optical member that emits exposure light, when moving the object; and calculating region information indicating a region, in which the liquid information satisfies predetermined conditions, on the object. | 12-11-2014 |
20140362355 | LITHOGRAPHIC APPARATUS AND SURFACE CLEANING METHOD - An apparatus and method for cleaning a contaminated surface of a lithographic apparatus are provided. A liquid confinement structure comprises at least two openings used to supply and extract liquid to a gap below the structure. The direction of flow between the openings can be switched. Liquid may be supplied to the gap radially outward of an opening adapted for dual flow. Supply and extraction lines to respectively supply liquid to and extract liquid from the liquid confinement structure have an inner surface that is resistant to corrosion by an organic liquid. A corrosive cleaning fluid can be used to clean photo resist contamination. | 12-11-2014 |
20140362356 | EXPOSURE APPARATUS, MOVABLE BODY DRIVE SYSTEM, PATTERN FORMATION APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - While a wafer stage moves linearly in a Y-axis direction, surface position information of a wafer surface at a plurality of detection points set at a predetermined interval in an X-axis direction is detected by a multipoint AF system, and by a plurality of alignment systems arranged in a line along the X-axis direction, marks at different positions on the wafer are each detected, and a part of a chipped shot of the wafer is exposed by a periphery edge exposure system. This allows throughput to be improved when compared with the case when detection operation of the marks, detection operation of the surface position information (focus information), and periphery edge exposure operation are performed independently. | 12-11-2014 |
20140368799 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A liquid supply system for an immersion lithographic projection apparatus is disclosed in which a space is defined between the projection system, a barrier member and a substrate. The barrier member is not sealed such that, during use, immersion liquid is allowed to flow out the space and between the barrier member and the substrate. | 12-18-2014 |
20140368800 | Lithographic Apparatus With A Metrology System For Measuring A Position Of A Substrate Table - A lithographic apparatus comprises a substrate table for accommodating a substrate; a projection system for imaging a pattern onto the substrate, and a metrology system for measuring a position of the substrate table with respect to the projection system. The metrology system comprises a metrology frame connected to the projection system, a grid positioned stationary with respect to the metrology frame, and an encoder connected to the substrate table and facing the grid for measuring the position of the substrate table relative to the grid. The metrology frame has a surface oriented towards the substrate table, and the surface has been configured, e.g., by writing or etching, so as to form the grid. | 12-18-2014 |
20140375971 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes an illumination system to provide a beam of radiation, a support to support a patterning devices, the patterning devices configured to impart the beam with a pattern in its cross-section, a substrate table to hold a substrate, a projection system to project the patterned beam onto a target portion of the substrate, and a conditioning system to condition the substrate. The conditioning system conditions a non-target portion of the substrate with a conditioning fluid. A method of manufacturing a device includes conditioning a non-target portion of a substrate. | 12-25-2014 |
20140375972 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Liquid is supplied to a space between the projection system and the substrate by an inlet. In an embodiment, an overflow region removes liquid above a given level. The overflow region may be arranged above the inlet and thus the liquid may be constantly refreshed and the pressure in the liquid may remain substantially constant. | 12-25-2014 |
20140375973 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is provided with a liquid confinement structure which defines at least in part a space configured to contain liquid between the projection system and the substrate. In order to reduce the crossing of the edge of the substrate which is being imaged (which can lead to inclusion of bubbles in the immersion liquid), the cross-sectional area of the space in a plane parallel to the substrate is made as small as possible. The smallest theoretical size is the size of the target portion which is imaged by the projection system. In an embodiment, the shape of a final element of the projection system is also changed to have a similar size and/or shape in a cross-section parallel to the substrate to that of the target portion. | 12-25-2014 |
20150009478 | EXPOSURE HEAD AND EXPOSURE DEVICE - An exposure head according to the invention includes: a transparent substrate; a plurality of exposure light sources which is formed in the transparent substrate and emits exposure light; at least one condensing lens which condenses the exposure light from the exposure light sources on the exposure object; an imaging unit which is disposed on the opposite side to the condensing lens with the transparent substrate interposed therebetween and images the exposure object; and a control unit which controls the turning on of the exposure light sources based on image information imaged by the imaging unit. An exposure device according to the invention includes the exposure head according to the invention. By virtue of such a configuration, it is possible to improve alignment precision of the exposure object and to improve exposure precision of the exposure object. | 01-08-2015 |
20150009479 | LITHOGRAPHIC APPARATUS - A lithographic apparatus includes a first table to support a substrate; a second table, not being configured to support a substrate, including a sensor unit to sense a property of a patterned beam of radiation from a projection system, the second table to move under the projection system when the first table is moved out from under the projection system during a substrate exchange, the first and second tables being independently movable from each other; and a liquid supply system to supply a liquid to a space between the projection system and the substrate, the first table, and/or the second table, wherein the second table is configured to provide a confining surface at a bottom of a liquid confinement structure when the first table is removed from under the projection system so as to prevent the liquid from leaking out into the remainder of the lithographic apparatus. | 01-08-2015 |
20150015856 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a substrate table constructed to hold a substrate, a projection system configured to project a patterned radiation beam through an opening and onto a target portion of the substrate, and a conduit having an outlet in the opening. The conduit is configured to deliver gas to the opening. The lithographic apparatus includes a temperature control apparatus disposed in a space between the projection system and the substrate table. The temperature control device is configured to control the temperature of the gas in the space after the gas passes through the opening. | 01-15-2015 |
20150015857 | LITHOGRAPHIC APPARATUS, DRYING DEVICE, METROLOGY APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is described in which a two-phase flow is separated into liquid-rich and gas-rich flows by causing the liquid-rich flow to preferentially flow along a surface. | 01-15-2015 |
20150015858 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Liquid is supplied to a space between the projection system of a lithographic apparatus and a substrate. A flow of gas towards a vacuum inlet prevents the humid gas from escaping to other parts of the lithographic apparatus. This may help to protect intricate parts of the lithographic apparatus from being damaged by the presence of humid gas. | 01-15-2015 |
20150029476 | PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND EXPOSURE METHOD - An immersion projection optical system having, for example, a catadioptric and off-axis structure, reduces the portion of an image space filled with liquid (immersion liquid). The projection optical system, which projects a reduced image of a first plane onto a second plane through the liquid, includes a refractive optical element (Lp) arranged nearest to the second plane. The refractive optical element includes a light emitting surface (Lpb) shaped to be substantially symmetric with respect to two axial directions (XY-axes) perpendicular to each other on the second plane. The light emitting surface has a central axis (Lpba) that substantially coincides with a central axis ( | 01-29-2015 |
20150036111 | MOVABLE BODY APPARATUS, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - In an exposure station, positional information of a holding member that holds a wafer is measured by a first measurement system including a measurement member, and in a measurement station positional information of the holding member that holds a wafer is measured by a second measurement system including another measurement member. An exposure apparatus has a third measurement system which can measure positional information of the holding member when the holding member is carried from the measurement station to the exposure station. A controller, coupled to the first and the second measurement systems, controls a movement of the holding member based on the positional information measured by the first measurement system in the exposure station and also controls a movement of the holding member based on the positional information measured by the second measurement system in the measurement station. | 02-05-2015 |
20150036112 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus that exposes a substrate includes: an optical system that includes an emission surface from which an exposure light is emitted; a first surface that is disposed in at least a part of a surrounding of an optical path of the exposure light emitted from the emission surface; a second surface that is disposed in at least a part of a surrounding of the first surface and at a position lower than the first surface; a space portion into which a liquid can flow via a first aperture between the first surface and the second surface and which is opened to the atmosphere via a second aperture different from the first aperture; and a first recovery portion that recovers at least a part of the liquid flowing into the space portion. Here, the emission surface, the first surface, and the second surface are opposed to the surface of the substrate in at least a part of the exposure of the substrate, and the substrate is exposed with the exposure light from the emission surface via the liquid between the emission surface and the surface of the substrate. | 02-05-2015 |
20150036113 | APPARATUS AND METHOD FOR PROVIDING FLUID FOR IMMERSION LITHOGRAPHY - An immersion lithography system and method exposes a substrate through a liquid. The substrate is exposed through the liquid, which is provided between a final optical element of a projection lens and the substrate. The liquid is recovered from an upper surface of the substrate via a recovery opening of an immersion apparatus under which the substrate is positioned, the immersion apparatus being disposed around the final optical element of the projection lens. The a pressure for recovering the liquid from the upper surface of the substrate via the recovery opening is controlled by a pressure control system, the pressure control system having a first tank connected to the recovery opening via a recovery flow line and a vacuum regulator to control a pressure in the first tank. | 02-05-2015 |
20150049314 | INSPECTION METHOD, INSPECTION APPARATUS, EXPOSURE CONTROL METHOD, EXPOSURE SYSTEM, AND SEMICONDUCTOR DEVICE - There is provided an inspection apparatus which inspects a substrate supporting portion configured to support a substrate during an exposure performed by an exposure apparatus. The apparatus includes: a irradiation unit configured to irradiate, with an illumination light beam, a surface of the substrate on which a pattern has been formed by an exposure by the exposure device; a detecting unit configured to detect reflected light from a pattern in the irradiated surface; a focusing state computation unit connected to the detection unit and configured to determine a focusing state of the pattern of the substrate, based on a detection result of the reflected light beam detected by the detection unit; and an inspection unit connected to the focusing state computation unit and configured to inspect the substrate supporting portion based on the focusing state determined by the focusing state computation unit. | 02-19-2015 |
20150055102 | LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS - A lithographic projection apparatus is disclosed which includes a cleaning station. Several embodiments of the cleaning station are disclosed. In an embodiment, measures are taken to avoid contact of a cleaning fluid with the final element of the projection system. In an embodiment, measures are taken to avoid foaming of the cleaning fluid. The use of a thermally isolated island is also disclosed as well as its optimal position. | 02-26-2015 |
20150055103 | FLUID HANDLING STRUCTURE, A LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A fluid handling structure for a lithographic apparatus, the fluid handling structure having, at a boundary from a space configured to contain immersion fluid to a region external to the fluid handling structure: a meniscus pinning feature to resist passage of immersion fluid in a radially outward direction from the space; a gas supply opening radially outward of the meniscus pinning feature; and a gas recovery opening radially outward of the meniscus pinning feature and at least partly surrounding the gas supply opening. | 02-26-2015 |
20150055104 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithography apparatus is disclosed in which liquid is supplied to a space between a projection system and a substrate, and a plate structure is provided to divide the space into two parts. The plate structure has an aperture to allow transmission of the projection beam, has through holes in it to reduce the damping effect of the presence of the plate and optionally has one or more inlets and outlets to provide various flows around the aperture in the plate. An embodiment of the invention may reduce the transportation of contaminants, stray light, temperature gradients, and/or the effect of bubbles on the imaging quality. | 02-26-2015 |
20150062544 | APPARATUS FOR AND METHOD OF TEMPERATURE COMPENSATION IN HIGH POWER FOCUSING SYSTEM FOR EUV LPP SOURCE - Apparatus for and method of temperature compensating a focusing system in having at least one transmissive optical element with a thermal lens. A reflective optical element is introduced having a thermal lens complementary to the thermal lens of the transmissive optical element so that the combined optical characteristics of the two optical elements are substantially temperature independent. Provision can also be made for a change in the absorption of the transmissive optical element over time. The focusing system is especially applicable to systems for generating EUV light for use in semiconductor photolithography. | 03-05-2015 |
20150062545 | PATTERN FORMING METHOD, PATTERN FORMING APPARATUS, AND COMPUTER READABLE STORAGE MEDIUM - The present invention is a pattern forming method of forming a pattern on a substrate using a block copolymer, the pattern forming method including the steps of: forming a film of a block copolymer containing at least two kinds of polymers on the substrate; heating the film of the block copolymer; irradiating the heated film of the block copolymer with ultraviolet light in an atmosphere of an inert gas; and supplying an organic solvent to the film of the block copolymer irradiated with the ultraviolet light. | 03-05-2015 |
20150062546 | APPARATUS WITH SURFACE PROTECTOR TO INHIBIT CONTAMINATION - An apparatus is provided for protecting a surface of interest from particle contamination, and particularly, during transitioning of the surface between atmospheric pressure and vacuum. The apparatus includes a chamber configured to receive the surface, and a protector plate configured to reside within the chamber with the surface, and inhibit particle contamination of the surface. A support mechanism is also provided suspending the protector plate away from an inner surface of the chamber. The support mechanism holds the protector plate within the chamber in spaced, opposing relation to the surface to provide a gap between the protector plate and the surface which presents a diffusion barrier to particle migration into the gap and onto the surface, thereby inhibiting particle contamination of the surface. | 03-05-2015 |
20150070665 | Homogeneous Thermal Equalization with Active Device - A system and method is provided for providing a thermal distribution on a workpiece during a lithographic process. The system provides a source of lithographic energy to workpiece, such as a workpiece having a lithographic film formed thereover. A workpiece support having a plurality of thermal devices embedded therein is configured to support the workpiece concurrent to an exposure of the workpiece to the lithographic energy. A controller individually controls a temperature of each of the plurality of thermal devices, therein controlling a specified temperature distribution across the workpiece associated with the exposure of the workpiece to the lithographic energy. Controlling the temperature of the thermal devices can be based on a model, a measured temperature of the workpiece, and/or a prediction of a temperature at one or more locations on the workpiece. | 03-12-2015 |
20150070666 | THERMAL CONDITIONING UNIT, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A thermal conditioning unit to thermally condition a substrate, the thermal conditioning unit including: a thermal conditioning element having a first layer, in use, facing the substrate and including a material having a thermal conductivity of 100 W/mK or more, a second layer and a heat transfer component positioned between the first and second layers; and a stiffening member which is stiffer than the thermal conditioning element and configured to support the thermal conditioning element so as to reduce mechanical deformation thereof, wherein the thermal conditioning element is thermally isolated from the stiffening member. | 03-12-2015 |
20150070667 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion-type exposure apparatus includes a measurement area for measuring a substrate, an exposure area, which differs from the measurement area, for exposing the substrate via a projection optical system, plural stages configured to hold the substrate and to be movable between the exposure area and the measurement area, and a controller configured to control the driving of the plural stages, wherein in a case that one stage of the plural stage is positioned in the exposure area, and immersion liquid that is supplied onto the one stage is retained in exposure area and delivered to another stage, the controller is configured to determine a delivery position of the immersion liquid for the other stage based on at least a first processing position of the other stage. | 03-12-2015 |
20150070668 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithography apparatus comprises a temperature controller configured to adjust a temperature of a projection system, a substrate and a liquid towards a common target temperature. Controlling the temperature of these elements and reducing temperature gradients may improve imaging consistency and general lithographic performance. Measures to control the temperature may include controlling the immersion liquid flow rate and liquid temperature, for example, via a feedback circuit. | 03-12-2015 |
20150077728 | SENSOR, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A sensor for use in lithographic apparatus of an immersion type and which, in use, comes into contact with the immersion liquid is arranged so that the thermal resistance of a first heat path from a transducer of the sensor to a temperature conditioning device is less than the thermal resistance of a second heat flow path from the transducer to the immersion liquid. Thus, heat flow is preferentially towards the temperature conditioning device and not the immersion liquid so that temperature-induced disturbance in the immersion liquid is reduced or minimized. | 03-19-2015 |
20150077729 | MODULE AND METHOD FOR PRODUCING EXTREME ULTRAVIOLET RADIATION - A module for producing extreme ultraviolet radiation, including an extreme ultraviolet radiation-emitting source, the source being provided with a supply configured to supply a fluid of an ignition material to a predetermined target ignition position and a target-igniting mechanism constructed and arranged to produce a plasma from the ignition material at the target ignition position, the plasma emitting the extreme ultraviolet radiation; a collector mirror constructed and arranged to focus radiation emitted by the plasma at a focal point; and a heat sink having a thermal energy-diverting surface constructed and arranged to divert thermal energy away from the target ignition position, wherein the heat sink is located at a position proximate the target ignition position. | 03-19-2015 |
20150077730 | EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD - An exposure apparatus includes a projection system; a liquid supply system configured to supply liquid to a space under the projection system; a liquid recovery system configured to recover the supplied liquid via a recovery opening; a separator fluidically connected to the recovery opening, which separates one of the liquid and gas, which has been collected via the recovery opening, from the other; a flow-meter fluidically connected to the recovery opening; a stage system configured to move a movable member on which a substrate is held; and a measurement system having a light receiving part which receives a measurement light through a light-transmissive member provided at the movable member and through the liquid between the projection system and the light-transmissive member. | 03-19-2015 |
20150085264 | ROTARY EUV COLLECTOR - An EUV collector is rotated between or during operations of an EUV photolithography system. Rotating the EUV collector causes contamination to distribute more evenly over the collector's surface. This reduces the rate at which the EUV photolithography system loses image fidelity with increasing contamination and thereby increases the collector lifetime. Rotating the collector during operation of the EUV photolithography system can induce convection and reduce the contamination rate. By rotating the collector at sufficient speed, some contaminating debris can be removed through the action of centrifugal force. | 03-26-2015 |
20150085265 | PELLICLE - There is provided a pellicle having a frame, a membrane and an agglutinant layer (adhesive to bond the pellicle on a photo mask) in which the release liner (detachable sheet) laid to the agglutinant layer for protection thereof is controlled to have a surface roughness of 5-30 micrometers on the side of the liner which is attached to the agglutinant layer, with a result that the releasability of the liner is improved suitably. | 03-26-2015 |
20150092167 | PROCESSING LIQUID SUPPLYING APPARATUS AND PROCESSING LIQUID SUPPLYING METHOD - Disclosed is a processing liquid supplying apparatus. The apparatus includes: a processing liquid supply source configured to supply a processing liquid for processing a substrate to be processed; an ejection unit configured to eject the processing liquid to the substrate to be processed; a filter device configured to remove foreign matters in the processing liquid; a supply pump and an ejection pump which are provided in the supply path at a primary side and a secondary side of the filter device, respectively; and a control unit configured to output a control signal to decompress and degas the processing liquid supplied from the processing liquid supply source by using one of the supply pump and the ejection pump, and subsequently, pass the degassed processing liquid through the filter device beginning from the primary side to the secondary side of the filter device by using the supply pump and the ejection pump. | 04-02-2015 |
20150092168 | SUBSTRATE HOLDER, LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHOD - A substrate holder for use in a lithographic apparatus. The substrate holder comprises a main body, a plurality of burls and a heater and/or a temperature sensor. The main body has a surface. The plurality of burls project from the surface and have end surfaces to support a substrate. The heater and/or temperature sensor is provided on the main body surface. The substrate holder is configured such that when a substrate is supported on the end surfaces, a thermal conductance between the heater and/or temperature sensor and the substrate is greater than a thermal conductance between the heater and/or temperature sensor and the main body surface. | 04-02-2015 |
20150098067 | LITHOGRAPHIC APPARATUS - A lithographic apparatus including a first body including a heat source, a second body and a heater device is presented. The second body has a facing surface facing the first body via a gap between the first and second bodies. The heat source is for providing a heat flux to the second body via the gap. The heater device is attached to the facing surface. The heater device is configured to provide a further heat flux to the second body. | 04-09-2015 |
20150098068 | DEVICE FOR CONTROLLING TEMPERATURE OF AN OPTICAL ELEMENT - A device serves for controlling temperature of an optical element provided in vacuum atmosphere. The device has a cooling apparatus having a radiational cooling part, arranged apart from the optical element, for cooling the optical element by radiation heat transfer. A controller serves for controlling temperature of the radiational cooling part. Further, the device comprises a heating part for heating the optical element. The heating part is connected to the controller for controlling the temperature of the heating part. The resulting device for controlling temperature in particular can be used with an optical element in a EUV microlithography tool leading to a stable performance of its optics. | 04-09-2015 |
20150103325 | Electrostatic Clamp, Lithographic Apparatus and Method - An electrostatic clamp ( | 04-16-2015 |
20150103326 | OPTICAL ELEMENT - The disclosure relates to an optical assembly for a projection exposure apparatus for semiconductor lithography. The optical assembly includes at least one optical element and a mounting body for mechanically fixing the element in a supporting structure. The optical assembly also includes at least one cooling body for dissipating heat from the element. The mounting body and the cooling body are separate from one another. The optical element is connected to the cooling body via at least one heat-conducting element. The disclosure also relates to a projection exposure apparatus including an optical assembly according to the disclosure. | 04-16-2015 |
20150109590 | SCANNER ROUTING METHOD FOR PARTICLE REMOVAL - A scanner routing method for particle removal is disclosed. A dummy wafer coated with a viscosity builder is provided. The dummy wafer is moved, shot by shot, with an immersion scanner. The said moving includes moving edge shots in a direction from the outside of the dummy wafer toward the inside of the same. The scanner routing method of the invention is beneficial to remove unnecessary particles or chemicals in the immersion liquid and therefore improve the performance of the product wafer which is subsequently run after the dummy wafer. | 04-23-2015 |
20150109591 | OPTICAL IMAGING DEVICE WITH THERMAL ATTENUATION - An optical imaging device, in particular for use in microlithography, includes a mask device for receiving a mask having a projection pattern, a projection device with an optical element group, a substrate device for receiving a substrate and an immersion zone. The optical element group is adapted to project the projection pattern onto the substrate and includes a plurality of optical elements with an immersion element to which the substrate is at least temporarily located adjacent to during operation. During operation, the immersion zone is located between the immersion element and the substrate and is at least temporarily filled with an immersion medium. A thermal attenuation device is provided, the thermal attenuation device being adapted to reduce fluctuations within the temperature distribution of the immersion element induced by the immersion medium. | 04-23-2015 |
20150109592 | LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS - A lithographic apparatus comprising a projection system, and a liquid confinement structure configured to at least partly confine immersion liquid to an immersion space defined by the projection system, the liquid confinement structure and a substrate and/or substrate table is disclosed wherein a measure is taken to reduce the effect of droplets and/or a liquid film on the last element of the projection system. | 04-23-2015 |
20150109593 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is disclosed including a liquid supply system configured to at least partly fill a space between the projection system and the substrate with a liquid, an outlet configured to remove a mixture of liquid and gas passing through a gap between a liquid confinement structure of the liquid supply system and the substrate, and an evacuation system configured to draw the mixture through the outlet, the evacuation system having a separator tank arranged to separate liquid from gas in the mixture and a separator tank pressure controller, connected to a non-liquid-filled region of the separator tank, configured to maintain a stable pressure within the non-liquid-filled region. | 04-23-2015 |
20150116675 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A method and apparatus for cleaning the inside of an immersion lithographic apparatus is disclosed. In particular, a liquid supply system of the lithographic apparatus may be used to introduce a cleaning fluid into a space between the projection system and the substrate table of the lithographic apparatus. Additionally or alternatively, a cleaning device may be provided on the substrate table and an ultrasonic emitter may be provided to create an ultrasonic cleaning liquid. | 04-30-2015 |
20150116676 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus with a cover plate formed separately from a substrate table and means for stabilizing a temperature of the substrate table by controlling the temperature of the cover plate is disclosed. A lithographic apparatus with thermal insulation provided between a cover plate and a substrate table so that the cover plate acts as a thermal shield for the substrate table is disclosed. A lithographic apparatus comprising means to determine a substrate table distortion and improve position control of a substrate by reference to the substrate table distortion is disclosed. | 04-30-2015 |
20150116677 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an immersion lithography apparatus, the immersion liquid is supplied from a tank via a flow restrictor. The liquid held in the tank is maintained at a substantially constant height above the flow restrictor to ensure a constant flow of liquid. | 04-30-2015 |
20150124229 | Charged particle lithography system and beam generator - The invention relates to a charged particle lithography system for exposing a target. The system includes a charged particle beam generator for generating a charged particle beam; an aperture array ( | 05-07-2015 |
20150124230 | LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSING METHOD, METHOD FOR MANUFACTURING DEVICE, PROGRAM, AND RECORDING MEDIUM - A liquid immersion member is used in a liquid immersion exposure apparatus, and forms a liquid immersion space above an object which is movable below the optical member. The liquid immersion member includes a first member that is disposed at at least a portion of surrounding of the optical member, and a second member that is disposed at at least a portion of surrounding of an optical path of the exposure light and is relatively movable with respect to the first member. The second member includes a second upper surface that is opposite to a first lower surface of the first member via a gap, a second lower surface that is capable of being opposite to the object, and a fluid recovery part that is disposed at at least a portion of surrounding of the second lower surface. | 05-07-2015 |
20150131064 | OBJECT HOLDER AND LITHOGRAPHIC APPARATUS - An object table to support an object, the object table having a support body, an object holder to hold an object, an opening adjacent an edge of the object holder, and a channel in fluid communication with the opening via a passageway, wherein the channel is defined by a first material which is different to a second material defining the passageway. | 05-14-2015 |
20150131065 | FOREIGN SUBSTANCE DETECTION METHOD, FOREIGN SUBSTANCE DETECTION APPARATUS, EXPOSURE METHOD, AND METHOD OF MANUFACTURING DEVICE - A foreign substance detection method includes: judging the presence/absence of a foreign substance by measuring a surface condition of a substrate; measuring a surface condition of a second substrate different from the substrate upon replacing the substrate on the chuck with the second substrate, when it is judged in the judging that a foreign substance exists; and determining whether an adhering location of the foreign substance determined to exist in the judging is the substrate, based on a measurement result obtained in the measurement. | 05-14-2015 |
20150138519 | Contamination Trap for a Lithographic Apparatus - Disclosed is a contamination trap arrangement ( | 05-21-2015 |
20150138520 | Holding Device for an Optical Element in an Objective - A holding device for an optical element in an objective has a mount that is connected to the objective, on the one hand, and at least indirectly to the optical element, on the other hand. Arranged between the mount and the optical element is a reinforcing element whose coefficient of thermal expansion corresponds substantially to the coefficient of thermal expansion of the optical element. | 05-21-2015 |
20150138521 | LENS MODULE COMPRISING AT LEAST ONE EXCHANGEABLE OPTICAL ELEMENT - An optical system has a housing with a mount and an opening to a receiving region, the receiving region being located within the housing and including the mount. At least one optical element is inserted into and removed from the receiving region through the opening, and at least one gas supply device provides a flow of gas in the receiving region. An associated method of inserting or removing an optical element into or from a receiving region in a housing is also disclosed. | 05-21-2015 |
20150146176 | SYSTEM AND METHOD FOR CONTROLLING A TEMPERATURE OF A REACTION ASSEMBLY - A stage assembly ( | 05-28-2015 |
20150146177 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes a measuring member disposed in a substrate stage for holding the substrate, at a side of a surface holding the substrate of a substrate stage, an auxiliary member disposed at a side of the surface of the substrate stage, with a gap with the measuring member, and a sealing member contacting a surface of the auxiliary member, disposed to cover the gap, and for suppressing penetration of the liquid locating on a surface of the measuring member, or the surface of the auxiliary member into the gap, and wherein the sealing member has a shape forming a space where a part of the surface of the measuring member contacts gas, while the liquid is on the surface of the measuring member and the liquid contacts an edge of the sealing member. | 05-28-2015 |
20150146178 | Substrate Tuning System and Method Using Optical Projection - Techniques herein include systems and methods that provide a spatially-controlled or pixel-based projection of light onto a substrate to tune various substrate properties. A given pixel-based image projected on to a substrate surface can be based on a substrate signature. The substrate signature can spatially represent non-uniformities across the surface of the substrate. Such non-uniformities can include energy, heat, critical dimensions, photolithographic exposure dosages, etc. Such pixel-based light projection can be used to tune various properties of substrates, including tuning of critical dimensions, heating uniformity, evaporative cooling, and generation of photo-sensitive agents. Combining such pixel-based light projection with photolithographic patterning processes and/or heating processes improves processing uniformity and decreases defectivity. Embodiments can include using a digital light processing (DLP) chip, grating light valve (GLV), or other grid-based micro projection technology. | 05-28-2015 |
20150301456 | Patterning Device Support, Lithographic Apparatus, And Method Of Controlling Patterning Device Temperature - A patterning device support ( | 10-22-2015 |
20150301457 | EXPOSURE METHOD, SUBSTRATE STAGE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure apparatus exposes a substrate by projecting a pattern image onto the substrate through a liquid. The exposure apparatus includes a projection optical system by which the pattern image is projected onto the substrate, and a movable member which is movable relative to the projection optical system. A liquid-repellent member, at least a part of a surface of which is liquid-repellent, is provided detachably on the movable member, the liquid-repellent member being different from the substrate. | 10-22-2015 |
20150309419 | LITHOGRAPHIC APPARATUS AND TABLE FOR USE IN SUCH AN APPARATUS - An immersion lithographic apparatus includes a projection system, a first table with a first planar surface and a second table with a second planar surface, the first and second planar surfaces being substantially coplanar, a liquid confinement system configured to spatially confine an immersion liquid to a volume with a first surface area that is coplanar with the first and second planar surfaces, and is substantially smaller than a second surface area of the top surface of the substrate, and a swap bridge member attached to the first table, the swap bridge member having an upper surface that is substantially coplanar with the first and second planar surfaces, wherein the upper surface of the swap bridge member is configured to serve as part of the liquid confinement system and to deform when the swap bridge member collides with the second table and to remain attached to the first table | 10-29-2015 |
20150309420 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A liquid supply system for an immersion lithographic apparatus provides a laminar flow of immersion liquid between a final element of the projection system and a substrate. A control system minimizes the chances of overflowing and an extractor includes an array of outlets configured to minimize vibrations. | 10-29-2015 |
20150309421 | FLUID HANDLING STRUCTURE, A LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A fluid handling structure for a lithographic apparatus, the fluid handling structure having, at a boundary from a space configured to contain immersion fluid to a region external to the fluid handling structure: a meniscus pinning feature to resist passage of immersion fluid in a radially outward direction from the space; a plurality of gas supply openings in a linear array at least partly surrounding and radially outward of the meniscus pinning feature; and a gas recovery opening radially outward of the plurality of gas supply openings in a linear array. | 10-29-2015 |
20150309422 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A gas knife configured to dry a surface in an immersion lithographic apparatus is optimized to remove liquid by ensuring that a pressure gradient is built up in the liquid film on the surface being dried. | 10-29-2015 |
20150309423 | MOVABLE BODY DRIVE METHOD AND MOVABLE BODY DRIVE SYSTEM, PATTERN FORMATION METHOD AND APPARATUS, EXPOSURE METHOD AND APPARATUS, DEVICE MANUFACTURING METHOD, AND CALIBRATION METHOD - A controller measures positional information of a stage within an XY plane using three encoders which at least include one each of an X encoder and a Y encoder of an encoder system, and the stage is driven in the XY plane, based on measurement results of the positional information and positional information (p | 10-29-2015 |
20150316857 | PLASMA DRY STRIP PRETREATMENT TO ENHANCE ION IMPLANTED RESIST REMOVAL - Systems and methods for processing a substrate include exposing a substrate to UV light from a UV light source having a predetermined wavelength range. The substrate includes a photoresist layer that has been bombarded with ions. The method includes controlling a temperature of the substrate, while exposing the substrate to the UV light, to a temperature less than or equal to a first temperature. The method includes removing the photoresist layer using plasma while maintaining a temperature of the substrate to less than or equal to a strip process temperature after exposing the substrate to the UV light. | 11-05-2015 |
20150316858 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In immersion lithography after exposure of a substrate is complete, a detector is used to detect any residual liquid remaining on the substrate and/or substrate table. | 11-05-2015 |
20150316861 | System and Method for Lithography Exposure With Correction of Overlay Shift Induced By Mask Heating - A method of exposing a wafer substrate includes receiving an integrated circuit (IC) design layout defining a pattern; determining a temperature profile of a mask based on the IC design layout, the pattern being formed on the mask; calculating a pre-corrected overlay shift for the mask based on the calculated temperature profile; and exposing a resist layer coated on a substrate using the mask with overlay compensation based on the pre-corrected overlay shift. | 11-05-2015 |
20150323875 | LITHOGRAPHIC APPARATUS AND IN-LINE CLEANING APPARATUS - A lithographic system includes an immersion type lithographic apparatus, which includes a support constructed and arranged to support a substrate, a projection system constructed and arranged to project a patterned beam of radiation onto a target portion of the substrate, a liquid confinement structure configured to at least partially fill a space between the projection system and at least one of the substrate and support with an immersion liquid, a liquid supply system arranged to provide the immersion liquid to the liquid confinement structure, and a cleaning liquid supply system arranged to provide a cleaning liquid to a surface of the lithographic apparatus that comes into contact with the immersion liquid. The system includes a switch to provide the cleaning liquid directly to the liquid confinement structure and to provide the immersion liquid indirectly to the liquid confinement structure via a liquid purification system. | 11-12-2015 |
20150323876 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A porous member is used in a liquid removal system of an immersion lithographic projection apparatus to smooth uneven flows. A pressure differential across the porous member may be maintained at below the bubble point of the porous member so that a single-phase liquid flow is obtained. Alternatively, the porous member may be used to reduce unevenness in a two-phase flow. | 11-12-2015 |
20150331334 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus and device manufacturing method makes use of a liquid confined in a reservoir between the projection system and the substrate. Bubbles forming in the liquid from dissolved atmospheric gases or from out-gassing from apparatus elements exposed to the liquid are detected and/or removed so that they do not interfere with exposure and lead to printing defects on the substrate. Detection may be carried out by measuring the frequency dependence of ultrasonic attenuation in the liquid and bubble removal may be implemented by degassing and pressurizing the liquid, isolating the liquid from the atmosphere, using liquids of low surface tension, providing a continuous flow of liquid through the imaging field, and/or phase shifting ultrasonic standing-wave node patterns. | 11-19-2015 |
20150331335 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - A liquid confinement member supplies liquid to and collects the liquid from a liquid immersion area formed adjacent to a final optical element of an immersion exposure apparatus, and includes a channel formation member that surrounds a portion of the final optical element of the immersion exposure apparatus. The channel formation member includes a hole through which exposure light projected by the final optical element passes, a liquid supply opening through which the liquid is supplied to the liquid immersion area, a liquid recovery opening through which the liquid is recovered from the liquid immersion area, a liquid supply channel by which the liquid is supplied to the liquid supply opening, and a liquid recovery channel by which the liquid is recovered from the liquid recovery opening. At least one of the liquid supply and liquid recovery channels includes a protrusion into a portion of the channel. | 11-19-2015 |
20150331338 | Substrate Support for a Lithographic Apparatus and Lithographic Apparatus - Disclosed is a substrate support for an apparatus of the type which projects a beam of EUV radiation onto a target portion of a substrate ( | 11-19-2015 |
20150338747 | Wafer Stage Temperature Control - A method includes loading a wafer onto a wafer stage of a lithography system, the wafer stage comprising a heating component and a temperature sensing component. The method further includes controlling the heating component such that a temperature of the wafer stage approaches a desired point. The controlling step comprises use of a characterization curve associated with the heating component. | 11-26-2015 |
20150338748 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus includes a support structure configured to hold a patterning device, the patterning device configured to pattern a beam of radiation according to a desired pattern; a substrate table configured to hold a substrate; a projection system configured to project the patterned beam onto a target portion of the substrate; a liquid supply system configured to provide liquid to a space between the projection system and the substrate; and a shutter configured to isolate the space from the substrate or a space to be occupied by a substrate. | 11-26-2015 |
20150346612 | OPTICAL ARRANGEMENT IN AN OPTICAL SYSTEM, IN PARTICULAR IN A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An optical arrangement in an optical system, such as a microlithographic projection exposure apparatus, includes: at least one heat-emitting subsystem which emits heat during the operation of the optical system; a first heat shield which is arranged such that it at least partly absorbs the heat emitted by the heat-emitting subsystem; a first cooling device which is in mechanical contact with the first heat shield and is designed to dissipate heat from the first heat shield; and a second heat shield which at least partly absorbs heat emitted by the first heat shield. The second heat shield is in mechanical contact with a cooling device that dissipates heat from the second heat shield. | 12-03-2015 |
20150355556 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is disclosed in which at least a part of the liquid supply system (which provides liquid between the projection system and the substrate) is moveable in a plane substantially parallel to a top surface of the substrate during scanning. The part is moved to reduce the relative velocity between that part and the substrate so that the speed at which the substrate may be moved relative to the projection system may be increased. | 12-10-2015 |
20150362844 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic projection apparatus, a structure surrounds a space between the projection system and a substrate table of the lithographic projection apparatus. A gas seal is formed between said structure and the surface of said substrate to contain liquid in the space. | 12-17-2015 |
20150370180 | Lithographic Apparatus and Method - A support such as a clamp ( | 12-24-2015 |
20150378263 | SYSTEMS AND METHODS FOR IMPLEMENTING ADVANCED SINGLE PASS CLEANING OF A REIMAGEABLE SURFACE IN A VARIABLE DATA DIGITAL LITHOGRAPHIC PRINTING DEVICE - A system and method are provided for enclosing a plurality of cleaning unit components in a moist cleaning unit environment, the plurality of cleaning unit components cooperating to employ a cleaner roller in an image forming device to facilitate effective cleaning of a reimageable surface in an image forming device using a proposed variable data digital lithographic image forming architecture. A range of solvents may be applied to a hard smooth high surface energy surface of the cleaner roll and a cleaning unit component internally positioned within the cleaning enclosure to clean the surface of the cleaner roll. Mechanical components are properly placed prior to the cleaner roll-reimageable surface nip to ensure that residual liquid on a surface of the cleaner roll is removed. | 12-31-2015 |
20160004166 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A purge cover is equipped whose upper end is connected to an illumination unit and the lower end has a pair of plate sections facing an upper surface of a reticle stage and a reticle via a predetermined clearance. Therefore, gaseous circulation can be substantially blocked via the clearance between the reticle stage and the plate sections. This allows a space which is almost airtight surrounded by the purge cover, the reticle stage and/or the reticle to be formed on the optical path of the illumination light that reaches the projection optical system from the illumination unit. Further, the space above which is almost airtight serves as a purge space that is purged with clean dry air and the like. | 01-07-2016 |
20160004171 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic projection apparatus is disclosed in which liquid is provided between a projection system of the apparatus and a substrate. The use of both liquidphobic and liquidphilic layers on various elements of the apparatus is provided to help prevent formation of bubbles in the liquid and to help reduce residue on the elements after being in contact with the liquid. | 01-07-2016 |
20160004174 | MICROLITHOGRAPHIC APPARATUS AND METHOD OF VARYING A LIGHT IRRADIANCE DISTRIBUTION - A microlithographic apparatus comprises an objective that comprises a transmission filter that is configured to variably modify a light irradiance distribution in a projection light path. The transmission filter comprises a plurality of gas outlet apertures that are configured to emit gas flows that pass through a space through which projection light propagates during operation of the microlithographic apparatus. The transmission filter further comprises a control unit which is configured to vary a number density of ozone molecules in the gas flows individually for each gas flow. In this manner it is possible to finally adjust the transmittance distribution of the transmission filter. | 01-07-2016 |
20160005636 | SUCTION DEVICE, CARRY-IN METHOD, CARRIER SYSTEM AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - In a carrier system, a chuck unit is used to hold a placed wafer from above, and vertical-motion pins use suction to hold the wafer from below. Then, the chuck unit and the vertical-motion pins are subsequently lowered until a bottom surface of the wafer comes into contact with a wafer table. During the lowering, the holding force exerted by the chuck unit and the arrangement of chuck members are optimally adjusted such that, as a result of the restraint of the wafer by the chuck unit and the vertical-motion pins, localized surplus-restraint is imparted to the wafer, and warping does not occur. | 01-07-2016 |
20160010901 | Lithographic Apparatus and to a Reflector Apparatus | 01-14-2016 |
20160011522 | LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS | 01-14-2016 |
20160026095 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an embodiment, a lithographic projection apparatus has an off-axis image field and a concave refractive lens as the final element of the projection system. The concave lens can be cut-away in parts not used optically to prevent bubbles from being trapped under the lens. | 01-28-2016 |
20160033875 | LITHOGRAPHIC APPARATUS AND CONTAMINATION REMOVAL OR PREVENTION METHOD - An immersion lithographic apparatus is cleaned by use of a cleaning liquid consisting essentially of ultra-pure water and (a) a mixture of hydrogen peroxide and ozone, or (b) hydrogen peroxide at a concentration of up to 5%, or (c) ozone at a concentration of up to 50 ppm, or (d) oxygen at concentration of up to 10 ppm, or (e) any combination selected from (a)-(d). | 02-04-2016 |
20160033876 | IMMERSION LIQUID, EXPOSURE APPARATUS, AND EXPOSURE PROCESS - An immersion liquid is provided comprising an ion-forming component, e.g. an acid or a base, which has a relatively high vapor pressure. Also provided are lithography processes and lithography systems using the immersion liquid. | 02-04-2016 |
20160041468 | EXPOSURE APPARATUS AND A METHOD FOR EXPOSING A PHOTOSENSITIVE ELEMENT AND A METHOD FOR PREPARING A PRINTING FORM FROM THE PHOTOSENSITIVE ELEMENT - The invention pertains to an exposure apparatus, a method for exposing a photosensitive element to radiation using the exposure apparatus, and a method for preparing a printing form from the photosensitive element. The exposure apparatus includes a base assembly having an exposure bed that supports the photosensitive element, and a lamp housing assembly having two or more lamps. The lamp housing assembly includes an air distribution assembly having an air chamber that is disposed adjacent to the lamps and pressurized to provide uniform distribution of air exiting the air chamber to impinge a backside of each of the lamps. The air exiting the chamber and impinging the lamps is controlled by monitoring the temperature of the lamps and/or the irradiance emitting from the lamps. | 02-11-2016 |
20160041480 | ARRANGEMENT FOR THE THERMAL ACTUATION OF A MIRROR, IN PARTICULAR IN A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - The disclosure provides an arrangement for the thermal actuation of a mirror, in particular in a microlithographic projection exposure apparatus, as well as related methods and systems. | 02-11-2016 |
20160048085 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD INVOLVING A HEATER - A lithographic apparatus is described having a liquid supply system configured to at least partly fill a space between a projection system of the lithographic apparatus and a substrate with liquid, a barrier member arranged to substantially contain the liquid within the space, and a heater. | 02-18-2016 |
20160054665 | SUBSTRATE PLACEMENT IN IMMERSION LITHOGRAPHY - A method for determining an offset between a center of a substrate and a center of a depression in a chuck includes providing a test substrate to the depression, the test substrate having a dimension smaller than a dimension of the depression, measuring a position of an alignment mark of the test substrate while in the depression, and determining the offset between the center of the substrate and the center of the depression from the position of the alignment mark. | 02-25-2016 |
20160062248 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A map of the surface of a substrate is generated at a measurement station. The substrate is then moved to where a space between a projection lens and the substrate is filled with a liquid. The substrate is then aligned using, for example, a transmission image sensor and, using the previous mapping, the substrate can be accurately exposed. Thus the mapping does not take place in a liquid environment. | 03-03-2016 |
20160062251 | CLEANING APPARATUS OF OPTICAL APPARATUS, OPTICAL APPARATUS, AND EXPOSURE APPARATUS - According to one embodiment, there is provided a cleaning apparatus of an optical apparatus including a first medium spraying unit. The first medium spraying unit sprays a medium on an adhered substance adhered to an optical component. The medium is cooled to a temperature lower than a room temperature and changes a state of the adhered substance into a fragile state. | 03-03-2016 |
20160070177 | LIQUID IMMERSION MEMBER AND EXPOSURE APPARATUS - A liquid immersion member is used in a liquid immersion exposure apparatus which exposes a substrate by exposure light via a first liquid between an emitting surface of an optical member and the substrate, and is capable of forming a liquid immersion space on an object movable below the optical member. The liquid immersion member includes a first member that is disposed at at least a portion of surrounding of the optical member; a second member that is capable of being opposite to the object and is movable outside an optical path of the exposure light; and a protection part that protects the optical member. The protection part decreases a change in pressure which the optical member receives from the liquid in the liquid immersion space. | 03-10-2016 |
20160070178 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic projection apparatus, a liquid supply system maintains liquid in a space between a projection system of the lithographic projection apparatus and a substrate. A sensor positioned on a substrate table, which holds the substrate, is configured to be exposed to radiation when immersed in liquid (e.g., under the same conditions as the substrate will be exposed to radiation). By having a surface of an absorption element of the sensor, that is to be in contact with liquid, formed of no more than one metal type, long life of the sensor may be obtained. | 03-10-2016 |
20160077447 | LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSING METHOD, METHOD OF MANUFACTURING DEVICE, PROGRAM, AND RECORDING MEDIUM - A liquid immersion member used in a liquid immersion exposure apparatus, and is capable of forming liquid immersion space on a surface of an object opposite to an emitting surface of optical member which emits exposure light. Liquid immersion member includes a first member that includes a first part disposed at surrounding of an optical path of exposure light, and in which a first opening part, through which exposure light is able to pass, and first liquid supply part, which is disposed at at least a portion of surrounding of first opening part and is capable of opposing surface of object, are provided at first part, and a second member that includes a first liquid recovery part which is capable of opposing surface of object and is movable with respect to first member outside first part with respect to optical path. | 03-17-2016 |
20160077448 | LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD OF MANUFACTURING DEVICE - A liquid immersion member forms, in an exposure apparatus, liquid immersion space through which exposure light emitted from an optical member passes, the liquid immersion member includes: a first member that is disposed at at least part of a space around the optical member and that includes a first lower surface facing an object which is movable below the optical member; and a movable second member that includes a second lower surface which is disposed at outer side than the first lower surface viewed from optical axis of the optical member and which faces the object and a third lower surface which is disposed at inner side than the second lower surface viewed from the optical axis and whose at least part is disposed above at least part of the first lower surface. | 03-17-2016 |
20160085159 | ENVIRONMENTAL SYSTEM INCLUDING VACUUM SCAVENGE FOR AN IMMERSION LITHOGRAPHY APPARATUS - A liquid immersion lithography apparatus includes an optical assembly having a last optical element, a first outlet facing downward, via which an immersion liquid is released, a first inlet via which the immersion liquid is drawn, and a containment member arranged to surround a last portion of the optical assembly. The containment member has (i) a second inlet facing downward, which is arranged radially-outwardly from the first outlet with respect to a space under the last optical element and via which fluid is removed from a gap formed under the containment member, and (ii) a second outlet facing downward, via which gas is supplied to the gap formed under the containment member, the second outlet being arranged radially-outwardly from the second inlet with respect to the space. | 03-24-2016 |
20160085160 | ENVIRONMENTAL SYSTEM INCLUDING A TRANSPORT REGION FOR AN IMMERSION LITHOGRAPHY APPARATUS - An immersion lithography apparatus includes an optical assembly that projects a beam onto a substrate through an immersion liquid, a containment member surrounding a path of the beam, a stage holding the substrate, an isolator having a first actuator which limits vibrations of the optical assembly, and a support system having a second actuator to support the containment member and move it by the second actuator. The containment member includes a first supply opening via which water as the immersion liquid is released, a recovery opening via which the immersion liquid is recovered from a gap between the containment member and the substrate and/or the stage, and a second supply opening via which the water is released to the gap between the containment member and the substrate and/or the stage, the second supply opening being provided radially inward of the recovery opening. | 03-24-2016 |
20160085161 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A substrate table of an immersion lithographic apparatus is disclosed which comprises a barrier configured to collect liquid. The barrier surrounds the substrate and is spaced apart from the substrate. In this way any liquid which is spilt from the liquid supply system can be collected to reduce the risk of contamination of delicate components of the lithographic projection apparatus. | 03-24-2016 |
20160091801 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes an atmosphere maintaining unit which maintains an exposure chamber in an air atmosphere, a gas supply unit which supplies air or a mixed gas containing air and an inert gas to a local space, between a final surface of a projection optical system and a substrate, a detector which detects an alignment mark and a reference mark formed on the substrate stage, and a controller. The controller controls the gas supply unit not to supply the mixed gas to the local space when the detector detects the reference mark, and controls the gas supply unit to supply the mixed gas to the local space when an instruction to detect the alignment mark upon setting the local space in a mixed gas atmosphere, and expose the substrate based on the detection results of the reference mark and the alignment mark is issued from the recipe. | 03-31-2016 |
20160091803 | METHOD OF AND APPARATUS FOR IN-SITU REPAIR OF REFLECTIVE OPTIC - Method of and apparatus for repairing an optical element disposed in a vacuum chamber while the optical element is in the vacuum chamber. An exposed surface of the optical element is exposed to an ion flux generated by an ion source to remove at least some areas of the surface that have been damaged by exposure to the environment within the vacuum chamber. The method and apparatus are especially applicable to repair multilayer mirrors serving as collectors in systems for generating EUV light for use in semiconductor photolithography. | 03-31-2016 |
20160097982 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE - Exposure apparatus exposes a substrate by irradiating the substrate with exposure light via a projection optical system and a liquid. The exposure apparatus is provided with a liquid immersion mechanism for supplying the liquid and recovering the liquid. The liquid immersion mechanism has an inclined surface, which is opposite to a surface of the substrate and is inclined with respect to the surface of the substrate, and a liquid recovering port of the liquid immersion mechanism is formed in the inclined surface. A flat portion is provided between the substrate and the projection optical system. A liquid immersion area can be maintained to be small. | 04-07-2016 |
20160103397 | Exposure Apparatus with Component from which Liquid is Protected and/or Removed and Device Fabricating Method - An exposure apparatus exposes a substrate by filling a liquid between a projection optical system and the substrate, and projecting the image of a pattern onto the substrate through the projection optical system and the liquid, and includes a liquid removing mechanism that intermittently blows a gas against a reference member, movable mirror, and the like, to which the liquid is adhered in order to remove that liquid. | 04-14-2016 |
20160103398 | FLUID HANDLING STRUCTURE, A LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A fluid handling structure for a lithographic apparatus, the fluid handling structure having, at a boundary from a space configured to contain immersion fluid to a region external to the fluid handling structure: a meniscus pinning feature to resist passage of immersion fluid in a radially outward direction from the space; and a plurality of gas supply openings in a linear array at least partly surrounding and radially outward of the one or more meniscus pinning features, wherein the plurality of gas supply openings in a linear array are of a similar or the same size. | 04-14-2016 |
20160103399 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus has adaptations to prevent or reduce bubble formation in one or more gaps in the substrate table by preventing bubbles escaping from the gap into the beam path and/or extracting bubbles that may form in the gap. | 04-14-2016 |
20160109810 | IMMERSION EXPOSURE APPARATUS AND METHOD WITH DETECTION OF LIQUID ON MEMBERS OF THE APPARATUS - An exposure apparatus which prevents the damage due to the liquid having flowed out from spreading and enables satisfactory performances of the exposure processes and the measurement processes is provided. An exposure apparatus (EX) includes a movable table (PT), a base member ( | 04-21-2016 |
20160116850 | LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is disclosed that includes a fluid handling system configured to confine immersion liquid to a localized space between a final element of a projection system and a substrate and/or table and a gas supplying device configured to supply gas with a solubility in immersion liquid of greater than 5×10 | 04-28-2016 |
20160124319 | LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS - A lithographic apparatus is disclosed that includes a projection system, and a liquid confinement structure configured to at least partly confine immersion liquid to an immersion space defined by the projection system, the liquid confinement structure and a substrate and/or substrate table. Measures are taken in the lithographic apparatus, for example, to reduce the effect of droplets on the final element of the projection system or to substantially avoid such droplet formation. | 05-05-2016 |
20160124320 | EXPOSURE APPARATUS, LIQUID HOLDING METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes a first member disposed at least in a part of a periphery of an optical path of the exposure light, and has a first face that faces an upper face of the object through a first gap and holds the liquid between the upper face of the object and the first face, a second member disposed at an outer side of the first face with respect to the optical path and has a second face facing the upper face of the object through a second gap, a first supply port disposed at an outer side of the second face and supplies a fluid, and a first suction port disposed between the first face and the second face, and suctions at least part of gas in an outer space of the second member via a gap between the second face and the upper face of the object. | 05-05-2016 |
20160124321 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an immersion lithography apparatus in which immersion liquid is supplied to a localized space, the space is substantially polygonal in plan substantially parallel to the substrate. In an embodiment, two corners of the space have a radius of curvature no greater than the width of a transition zone between the space configured to contain liquid and a surrounding configured not to contain liquid. | 05-05-2016 |
20160124324 | Peripheral Exposure Method and Apparatus Therefor - A peripheral exposure method for performing an exposure treatment by illuminating light to a periphery of a resist film formed on a substrate to be processed is discussed. The method includes rotating the substrate to be processed on a horizontal plane, bringing a coolant gas into contact with the periphery of the resist film of the substrate to be processed which is being rotated, and cooling the substrate to be processed. Further, the method also includes measuring a temperature of the substrate to be processed, wherein when the temperature of the substrate to be processed is equal to or less than a predetermined temperature, the exposure treatment is performed. | 05-05-2016 |
20160131980 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A microlithographic projection exposure apparatus contains an illumination system ( | 05-12-2016 |
20160131981 | SUBSTRATE HOLDING APPARATUS, EXPOSURE APPARATUS, AND DEVICE FABRICATING METHOD - An exposure apparatus exposes a substrate to light passing through liquid, and includes a stage that holds the substrate. The stage includes a substrate holder including a support member that supports a rear surface of the substrate and a first circumferential wall surrounding the support member. A second circumferential wall surrounds the substrate holder and forms a first groove between the second circumferential wall and the substrate holder, and a second groove on an outer side thereof. A plate member surrounds the substrate on the support member, and a recovery passage recovers liquid flowing from a liquid supply system to a gap between the plate member and the substrate. The second circumferential wall is under the gap so that part of an upper surface of the second circumferential wall faces the substrate rear surface and another part of the upper surface faces a rear surface of the plate member. | 05-12-2016 |
20160154321 | FLUID HANDLING STRUCTURE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 06-02-2016 |
20160161855 | APPARATUS FOR AND METHOD OF TEMPERATURE COMPENSATION IN HIGH POWER FOCUSING SYSTEM FOR EUV LPP SOURCE - Apparatus for and method of temperature compensating a focusing system in which the focusing system has at least one transmissive optical element having a thermal lens. A reflective optical element is added to the system that has a thermal lens that is complementary to the thermal lens of the transmissive optical element so that the optical characteristics of the two optical elements combined are substantially temperature independent. The respective thermal lenses of the two optical elements are balanced by selecting materials for the reflective optical element that have the correct optical absorption based on the absorption of the transmissive optical element and the relative strengths of the thermal lenses. Provision can also be made for a change in the absorption of the transmissive optical element over time by selecting a value for the absorption of the reflective optical element that exceeds a contemporaneous value for the absorption of the transmissive optical element and then cooling the reflective optical element to reduce the strength of its thermal lens, with provision for increasing the temperature of the reflective optical component over time. The focusing system may also include a pulse combiner for combing pulses from multiple sources. The focusing system is especially applicable to systems for generating EUV light for use in semiconductor photolithography. | 06-09-2016 |
20160161860 | LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSING METHOD, METHOD FOR MANUFACTURING DEVICE, PROGRAM, AND RECORDING MEDIUM - A liquid immersion exposure apparatus includes a projection system having a final element and a liquid immersion member that forms a liquid immersion space under the final element. The liquid immersion member includes a first member that surrounds the final element and that has a liquid supply port and a liquid suction port and a second member at least a portion of which is disposed below the first member, and that is movable with respect to the first member. The first member has a channel therein, one end of the channel is open to a first space between the final element and the first member, the other end of the channel is disposed below the one end and is open to a second space different from the first space, and the channel is provided such that liquid is allowed to flow into the channel. | 06-09-2016 |
20160161861 | POSITION MEASUREMENT METHOD, POSITION CONTROL METHOD, MEASUREMENT METHOD, LOADING METHOD, EXPOSURE METHOD AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure method and apparatus exposes an object with an exposure beam via a projection optical system and a liquid of a liquid immersion area formed under the projection optical system. A first stage on which the object is held and a second stage are moved relative to each other so that the second stage approaches the first stage that is placed facing the projection optical system, the second stage having an upper surface contactable with a liquid immersion area. The first and second stages that have approached each other are moved with respect to the projection optical system so that the second stage is placed facing the projection optical system instead of the first stage. For relative movement of the first and second stages in the approaching, driving of the first and second stages is controlled based on outer periphery positional information of the first stage. | 06-09-2016 |
20160161867 | LIQUID TREATMENT APPARATUS AND METHOD AND NON-TRANSITORY STORAGE MEDIUM - A liquid treatment method includes: supplying a first organic solvent to a substrate with the substrate being held horizontally by a substrate holder; and thereafter supplying a second organic solvent to a substrate held by the substrate holder, the second solvent having a higher cleanliness than the first solvent. | 06-09-2016 |
20160170310 | SUBSTRATE TABLE, A LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD | 06-16-2016 |
20160179014 | LITHOGRAPHIC APPARATUS, COVER FOR USE IN A LITHOGRAPHIC APPARATUS AND METHOD FOR DESIGNING A COVER FOR USE IN A LITHOGRAPHIC APPARATUS | 06-23-2016 |
20160179015 | LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD | 06-23-2016 |
20160179018 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS AND METHOD OF CORRECTING OPTICAL WAVEFRONT DEFORMATIONS IN SUCH AN APPARATUS | 06-23-2016 |
20160195821 | IMMERSION LITHOGRAPHIC APPARATUS | 07-07-2016 |
20160202617 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD | 07-14-2016 |
20160202618 | LITHOGRAPHIC APPARATUS AND METHOD | 07-14-2016 |
20160252828 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE | 09-01-2016 |
20160377989 | FLUID HANDLING STRUCTURE, A LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD - A fluid handling structure for a lithographic apparatus, the fluid handling structure having, at a boundary from a space configured to contain immersion fluid to a region external to the fluid handling structure: a meniscus pinning feature to resist passage of immersion fluid in a radially outward direction from the space; a plurality of gas supply openings in a linear array at least partly surrounding and radially outward of the meniscus pinning feature; and a gas recovery opening radially outward of the plurality of gas supply openings in a linear array. | 12-29-2016 |
20160377996 | SYSTEM FOR POSITIONING AN OBJECT IN LITHOGRAPHY - A lithographic apparatus includes: an object that is moveable in at least one direction; a control system to move the object in the at least one direction, wherein the control system is arranged to control movement of the object in the at least one direction in a frequency range of interest; and a conduit provided with a fluid, wherein the conduit is arranged on or in the object in a pattern, and wherein the pattern is such that an acceleration of the object in the at least one direction causes an acceleration pressure profile in the fluid along the conduit, the acceleration pressure profile not matching with a resonance pressure profile that corresponds to a standing wave mode in the fluid with a resonance frequency in the frequency range of interest. | 12-29-2016 |
20170235236 | ACTIVE DRYING STATION AND METHOD TO REMOVE IMMERSION LIQUID USING GAS FLOW SUPPLY WITH GAS OUTLET BETWEEN TWO GAS INLETS | 08-17-2017 |
20170235237 | ENVIRONMENTAL SYSTEM INCLUDING VACUUM SCAVENGE FOR AN IMMERSION LITHOGRAPHY APPARATUS | 08-17-2017 |
20170235238 | IMMERSION EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD WITH MEASURING DEVICE | 08-17-2017 |
20180024442 | LIQUID JET AND RECOVERY SYSTEM FOR IMMERSION LITHOGRAPHY | 01-25-2018 |
20180024446 | THERMAL CONDITIONING METHOD | 01-25-2018 |
20190146360 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE | 05-16-2019 |
20190146361 | LITHOGRAPHY APPARATUS AND METHOD | 05-16-2019 |
20190146362 | LITHOGRAPHY SYSTEM HAVING INVISIBLE PELLICLE OVER MASK | 05-16-2019 |
20220137519 | FLUID HANDLING STRUCTURE AND LITHOGRAPHIC APPARATUS - An immersion lithographic apparatus having a fluid handling structure, the fluid handling structure configured to confine immersion fluid to a region and including: a meniscus controlling feature having an extractor exit on a surface of the fluid handling structure; and a gas knife system outwards of the extractor exit and including passages each having an exit, the passages having a plurality of first passages having a plurality of corresponding first exits on the surface, and a plurality of second passages having a plurality of corresponding second exits outwards of the first exits on the surface, wherein the surface faces and is substantially parallel to a top surface of a substrate during exposure, and the first exits and the second exits are arranged at a greater distance from the substrate than the extractor exit. | 05-05-2022 |