Entries |
Document | Title | Date |
20080217287 | METHOD OF MANUFACTURING A THIN-FILM MAGNETIC HEAD, THIN-FILM MAGNETIC HEAD MANUFACTURING APPARATUS, AND THIN-FILM MAGNETIC HEAD MANUFACTURING SYSTEM - A method of manufacturing a thin-film magnetic head works a part to be worked to a target length by carrying out an etching process on an object to be worked using an etching apparatus. The method carries out a measuring process that measures a length before working of a part to be worked using a measuring apparatus and a calculation process that calculates the processing time of the etching process required to work the part to be worked from the length before working to the target length based on a first calculation result correcting parameter obtained in advance corresponding to the measuring apparatus, a second calculation result correcting parameter obtained in advance corresponding to a position of the part to be worked, a third calculation result correcting parameter obtained in advance corresponding to a value of a current supplied to an electrode of the etching apparatus during the etching process, a fourth calculation result correcting parameter obtained in advance corresponding to a total usage time of the electrode, the length before working, and the target length. The etching process is carried out on the object to be worked for the calculated processing time. | 09-11-2008 |
20080217288 | METHOD OF MANUFACTURING A MAGNETIC SENSOR WITH TILTED MAGNETORESISTIVE STRUCTURES - A method of manufacturing a magnetic field sensor device in one embodiment includes applying a mask on a substrate, performing a wet etching procedure on the substrate for generating at least a first groove having tilted side walls, and depositing at least one layer of magnetoresistive material onto a section of the surface of at least a first tilted side wall of the groove. A method of manufacturing a magnetic field sensor device on a substrate having a plurality of tilted planar sections, each of the tilted planar sections having a surface normal angled with respect to a surface normal of the substrate is also provided. The method includes depositing a magnetoresistive layered structure positioned at each of the tilted planar sections of the substrate, wherein the tilted planar sections are oriented such that a direction of an applied magnetic field in at least one of an x-, y- and z-direction relative to the substrate is detectable based on field-induced resistance changes of the magnetoresistive layered structures. | 09-11-2008 |
20080217289 | MAGNETORESISTANCE EFFECT DEVICE AND METHOD OF PRODUCTION THEREOF - A method of production of a magnetoresistance effect device is able to prevent or minimize a drop in the MR ratio and maintain the high performance of the magnetoresistance effect device even if forming an oxide layer as a surface-most layer constituting a protective layer by the oxidation process inevitably included in the process of production of microprocessing by dry etching performed in a vacuum. Two mask layers used for microprocessing are doubly piled up. This method of production of a magnetoresistivity effect device including a magnetic multilayer film including at least two magnetic layers includes a step of providing under a first mask material that is a nonorganic material a second mask material able to react with other atoms to form a conductive substance, and a device made according to the method. | 09-11-2008 |
20080277376 | Method of manufacturing magnetic head, and magnetic head sub-structure - A method of manufacturing magnetic heads comprises the step of: fabricating a magnetic head substructure by forming a plurality of components of the magnetic heads on a single substrate, wherein a plurality of rows of pre-head portions that will be the respective magnetic heads later are aligned in the substructure; and fabricating the magnetic heads by separating the pre-head portions from one another through cutting the substructure. In the step of fabricating the substructure, a plurality of indicators are formed, each of the indicators serving as a reference for indicating the location of a region ABS in which the medium facing surfaces of the magnetic heads are to be formed. | 11-13-2008 |
20080277377 | Masking material for dry etching - The object of the present invention is to provide a masking material for dry etching, which is suitable for fine processing of a magnetic film as thin as a few nm such as NiFe or CoFe constituting a TMR film and capable of simplifying the process for producing a TMR element and reducing production costs related to facilities and materials. This object was solved by a masking material for dry etching of a magnetic material by using a mixed gas of carbon monoxide and a nitrogenous compound as etching gas, which comprises a metal (tantalum, tungsten, zirconium or hafnium) with a melting or boiling point increasing upon conversion thereof into a nitride or carbide. | 11-13-2008 |
20080296255 | Magnetic Porous Particles and Method of Making - The invention provides porous particles that produce a predetermined optical response and that may be manipulated magnetically. A preferred particle of the invention has a porous structure that produces a predetermined optical response and magnetic material adhered to the particle. Another preferred particle is amphiphilic. The optical response provided by a particle of the invention enables particles of the invention to be used in sensing, labeling, signaling, display and many other applications. The magnetic nature of the present magnetic particles permits the particles themselves to be manipulated, e.g., vibrated, moved and re-oriented. The porous particles can also be used to control, move, and/or deliver small volumes of liquids and solids associated with the particles. | 12-04-2008 |
20080314868 | METHODS OF FORMING SEMICONDUCTOR DEVICES FORMED BY PROCESSES INCLUDING THE USE OF SPECIFIC ETCHANT SOLUTIONS - The present invention provides etchant solutions including deionized water and an organic acid having a carboxyl radical and a hydroxyl radical. Methods of forming magnetic memory devices are also disclosed. | 12-25-2008 |
20090001047 | METHOD FOR FABRICATING PATTERNED MAGNETIC RECORDING MEDIA - A method of fabricating a bit patterned storage medium includes obtaining a substrate having a magnetic layer and forming a mask over the magnetic layer. The magnetic layer is etched through the mask using a reactive ion etch. The etch rate of the mask is reduced by introducing a gas into the reactive ion etch. | 01-01-2009 |
20090032494 | Thin film magnetic head structure, method of making same and thin film magnetic head - A thin-film magnetic head structure has a configuration adapted to manufacture a thin-film magnetic head configured such that a main magnetic pole layer including a magnetic pole tip on a side of a medium-opposing surface opposing a recording medium, a write shield layer opposing the magnetic pole tip so as to form a recording gap layer on the medium-opposing surface side, and a thin-film coil wound about the write shield layer or main magnetic pole layer are laminated. The magnetic pole tip of the main magnetic pole layer includes an even width portion having a substantially even width along an extending direction. | 02-05-2009 |
20090045162 | THIN FILM MAGNETIC HEAD AND MANUFACTURING METHOD THEREOF - A thin film magnetic head has a configuration in which a main magnetic pole film having a magnetic pole end portion on a medium opposing surface (ABS) side facing a magnetic disk, a write shield film facing the magnetic pole end portion so as to form a recording gap film on the medium opposing surface side, and a thin film coil wound around at least a part of the write shield film are laminated. Further, the thin film magnetic head has an upper yoke magnetic pole film whose size is larger than that of the main magnetic pole film at a part more distant from the ABS than the recording gap film, and this upper yoke magnetic pole film is bonded to the side of the main magnetic pole film close to the thin film coil. In the upper yoke magnetic pole film, an end portion on the ABS side is retracted in a direction apart from the ABS in accordance with an increase in film thickness which is measured from the surface of the main magnetic pole film. | 02-19-2009 |
20090071935 | PATTERNED MAGNETIC RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME - Provided are a patterned magnetic recording medium which has an extremely planarized surface and a method of manufacturing the same. The medium includes a patterned magnetic layer including a plurality of magnetic columns that are arranged with a predetermined pitch therebetween; a substrate that supports the patterned magnetic layer; and a boundary layer, which is filled in gaps between the magnetic columns of the patterned magnetic layer. Thus, an air bearing due to stable airflow is created over the magnetic layer, and magnetic recording/reproduction are easily achieved at ultrahigh density. | 03-19-2009 |
20090095707 | Method And Apparatus For Processing Sub-Micron Write Head Flare Definition - A method and apparatus for processing sub-micron write head flare definition is provided. The method for processing a perpendicular magnetic head forms a portion of a perpendicular write head, where the portion of the write head includes a first pole layer, a coil layer, a second pole layer and a write pole, the method forms a portion of a magnetic read head adjacent to the portion of the perpendicular write head, where the portion of the read head includes a shield layer and a sensor, the method also laps the write pole concurrently with the sensor to define a flare position of the pole tip and to define a sensor height, where the flare position of the pole tip is defined in the same photo-lithography step as the back edge of the sensor. | 04-16-2009 |
20090101624 | Method for manufacturing magnetic recording medium - A method for efficiently manufacturing a magnetic recording medium by filling concave portions of a concavo-convex patterned recording layer with a filler to provide sufficient surface flatness is provided. A cladding is etched by a dry etching method in which an etch rate of the cladding is equal to or higher than an etch rate of the filler. Then, by dry etching method in which an etch rate of the filler is higher than that of the cladding, the filler is etched so that a top surface of a diaphragm over a recording element (or a top surface of the recording element in absence of the diaphragm) is exposed, and the cladding remains over the concave portion of the concavo-convex pattern of the recording layer. | 04-23-2009 |
20090139958 | FENCELESS MAIN POLE DEFINITION FOR ADVANCED PERPENDICULAR MAGNETIC WRITE HEAD - A method for manufacturing a magnetic write head for perpendicular magnetic recording. The method includes forming a write pole using a mask that includes a hard mask layer deposited over the write pole laminate material, and a thick, physically robust image transfer layer. The image transfer layer can be a material such as AlTiO that can be patterned by a reactive ion etching process, but which also resists deformation during processing. This process allows a write pole and wrap-around trailing shield to be constructed at very narrow track widths without the mask deformation and fencing problems experienced by prior art methods. | 06-04-2009 |
20090139959 | SUBSTRATE FOR MAGNETIC RECORDING MEDIUM AND METHOD FOR MANUFACTURING SAME - Provided is a surface-treated substrate in which the roughness of the surface of the substrate is controlled. The surface-treated substrate can form a magnetic recording medium in which head flying stability is maintained and which has a magnetic film that can achieve high recording densities. Also provided is a method for roughening the surface of the substrate. More specifically, provided is a surface-treated silicon substrate for a magnetic recording medium in which a surface used for forming a recording layer has 40 to 1000 protrusions per 1 μm | 06-04-2009 |
20090145878 | Thin-film patterning method for magnetoresistive device - The thin-film patterning method for a magnetoresistive device comprises forming a functional layer on a substrate; forming a first mask layer above the functional layer; forming a patterned resist on the first mask layer; etching the first mask layer by using the resist; removing the resist; forming a second mask layer by atomic layer deposition, the second mask layer covering a step defined by an edge of the first mask layer; dry-etching the second mask layer in a thickness direction of the substrate so as to leave the second mask layer on a side face of the step; removing the first mask layer so as to expose the functional layer under the first mask; and dry-etching the functional layer by using the second mask layer. | 06-11-2009 |
20090145879 | SYSTEM AND METHOD FOR COMMERCIAL FABRICATION OF PATTERNED MEDIA - A system is provided for etching patterned media disks for hard drive. The modular system may be tailored to perform specific processes sequences so that a patterned media disk is fabricated without removing the disk from vacuum environment. In some sequence the magnetic stack is etched while in other the etch is performed prior to forming the magnetic stack. In a further sequence ion implantation is used without etching steps. For etching a movable non-contact electrode is utilized to perform sputter etch. The cathode moves to near contact distance to, but not contacting, the substrate so as to couple RF energy to the disk. The substrate is held vertically in a carrier and both sides are etched serially. That is, one side is etched in one chamber and then in the next chamber the second side is etched. | 06-11-2009 |
20090152234 | PROCESS FOR SELF-ALIGNED FLARE POINT AND SHIELD THROAT DEFINITION PRIOR TO MAIN POLE PATTERNING - A method for manufacturing a magnetic write head having a write pole with a flared step feature that defines a secondary flare point. The method involves depositing a magnetic write pole material on a substrate and then depositing a magnetic material over the write pole material followed by a non-magnetic material. A first mask is formed having a front edge to define the location of the secondary flare point, and one or more material removal processes are used to remove portions of the magnetic layer and non-magnetic layer that are not protected by this first mask. The first mask is replaced by a second mask that is configured to define a write pole, and an ion milling is performed to define the write pole. Shadowing from the magnetic layer and non-magnetic layer form a flared secondary flare point. | 06-18-2009 |
20090152235 | METHOD OF MANUFACTURING A PERPENDICULAR MAGNETIC WRITE HEAD WITH STEPPED TRAILING MAGNETIC SHIELD WITH ELECTRICAL LAPPING GUIDE CONTROL - A method for manufacturing a magnetic write head having a stepped trailing shield. The stepped trailing shield is formed by forming a non-magnetic bump over a write pole prior to electroplating a wrap-around magnetic shield. The method allows the location of the front edge of the bump relative to the back edge of the wrap-around shield to be monitored by measuring the electrical resistance of an electrical lapping guide formed concurrently with these features. This concurrent formation of a lapping guide can be used to define the relative location of other features as well, such as the location of a back edge of a wrap-around shield relative to a flare point of a write pole. | 06-18-2009 |
20090159562 | METHOD FOR FABRICATING MAGNETIC TUNNEL JUNCTION DEVICE - A method for fabricating a magnetic tunnel junction device includes forming a first magnetic layer, a dielectric layer, a second magnetic layer and a capping layer, selectively etching the capping layer and the second magnetic layer to form a first pattern, forming a short prevention layer on a sidewall of the first pattern, and etching the dielectric layer and the first magnetic layer using the capping layer and the short prevention layer as an etch barrier to form a second pattern. | 06-25-2009 |
20090159563 | METHOD FOR FORMING MAGNETIC TUNNEL JUNCTION CELL - A method for forming a magnetic tunnel junction cell includes forming a pinning layer, a pinned layer, a dielectric layer and a free layer over a first electrode, forming a second electrode on the free layer, etching the free layer and the dielectric layer using the second electrode as an etch barrier to form a first pattern, forming a prevention layer on a sidewall of the first pattern, and etching the pinned layer and the pinning layer using the second electrode and the prevention layer as an etch barrier to form a second pattern. | 06-25-2009 |
20090166321 | SELF-ASSEMBLY STRUCTURES USED FOR FABRICATING PATTERNED MAGNETIC MEDIA - Methods of defining servo patterns and data patterns for forming patterned magnetic media are described. For one method, a lithographic process is performed to define a servo pattern in servo regions on a substrate. The lithographic process also defines a first data pattern in data regions of the substrate. The first data pattern is then transferred to (i.e., etched into) the data regions. Self-assembly structures are then formed on the data pattern in the data regions to define a second data pattern. The servo pattern is then transferred to the servo regions and the second data pattern is transferred to the data regions. Thus, the servo pattern is defined through lithographic processes while the data pattern is defined by a combination of lithographic processes and self-assembly. | 07-02-2009 |
20090166322 | MAGNETO-RESISTIVE ELEMENT - A magneto-resistive element according to an aspect of the present invention includes a free layer whose magnetized state changes and a pinned layer whose magnetized state is fixed. The free layer comprises first and second ferromagnetic layers and a non-magnetic layer which is arranged between the first and second ferromagnetic layers. An intensity of exchange coupling between the first and second ferromagnetic layers is set so that an astroid curve in a hard axis direction opens. | 07-02-2009 |
20090166323 | METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM - According to one embodiment, a method of manufacturing a magnetic recording medium includes depositing a magnetic recording layer and a sacrifice layer on a substrate, patterning the sacrifice layer and magnetic recording layer to form protruded magnetic patterns and sacrifice patterns, depositing a nonmagnetic material in recesses between the magnetic patterns and sacrifice patterns and on the sacrifice patterns, and etching back the nonmagnetic material. | 07-02-2009 |
20090173714 | Method of manufacturing magnetic head for perpendicular magnetic recording - A magnetic head comprises: an encasing layer made of a nonmagnetic material and having a groove that opens in the top surface; a nonmagnetic metal layer made of a nonmagnetic metal material, disposed on the top surface of the encasing layer, and having a penetrating opening that is contiguous to the groove; and a pole layer made of a magnetic metal material and encased in the groove of the encasing layer and in the opening of the nonmagnetic metal layer. The pole layer has an end face located in a medium facing surface, the end face having a first portion and a second portion that is located farther from a substrate than the first portion and connected to the first portion. The first portion has a width that decreases as the distance from the substrate decreases. The second portion has a uniform width that defines the track width. In the medium facing surface, the nonmagnetic metal layer exists on both sides of the second portion, the sides being opposed to each other in the direction of track width. | 07-09-2009 |
20090188891 | METHOD OF MANUFACTURING THERMALLY ASSISTED MAGNETIC HEAD - A method comprises a first multilayer body forming step of forming a first multilayer body on a first cladding layer, the first multilayer body including a core layer and a first polishing stop layer in order from the first cladding layer side; a first multilayer body patterning step of pattering the first multilayer body, so as to expose the first cladding layer about the patterned first multilayer body; a second multilayer body forming step of forming a second multilayer body on the exposed first cladding layer and patterned first multilayer body, the second multilayer body including a second cladding layer and a second polishing stop layer in order from the first cladding layer side; and a removing step of polishing away a part of the second multilayer body formed on the first multilayer body. | 07-30-2009 |
20090200264 | Method For Making A Spin Valve Nano-Contact Entering The Constituition Of A Radio-Frequency Oscillator - This method for making a nano-contact on a spin valve for the purposes of constituting a radio-frequency oscillator, consists, after deposition of the magnetic stack constituting the spin valve on a lower electrode in depositing on said magnetic stack a metal layer known as a “barrier” layer; in depositing on this “barrier” layer another metal layer; in depositing locally on this metal layer a hard mask; in subjecting the assembly to a first selective etching step of the metal layer constituting the injector through the hard mask, said metal layer being over-etched during this step under the hard mask in order to give the nano-contact its final dimension; in subjecting the assembly so obtained to a second selective etching step, able to induce the partial removal of the barrier layer and of the magnetic stack substantially on the periphery of the hard mask; in encapsulating the assembly obtained in a dielectric; in planarizing the encapsulated assembly so obtained until ending plumb with the residual layer of the hard mask or of the injector; and finally in putting the conductive upper electrode in place. | 08-13-2009 |
20090218313 | METHOD FOR MANUFACTURING PATTERNED MAGNETIC RECORDING MEDIUM - There is provided a method for manufacturing a patterned magnetic recording medium including a step of completely removing an etching resist on a magnetic layer | 09-03-2009 |
20090236307 | Method for manufacturing perpendicular magnetic recording head - Embodiments of the present invention help to provide a method for manufacturing a perpendicular magnetic recording head including a main magnetic pole having a width that does not generally vary. According to one embodiment, a magnetic film, a first inorganic mask film, an organic film, a second inorganic mask film, and a resist pattern are formed in this order. Reactive ion etching (RIE) is performed using the resist pattern as a mask to etch the second inorganic mask film and the organic film and form a mask for the subsequent step. A flow rate of an Ar gas is then controlled, and ion milling is performed, to correct a difference between the width of the mask located at the central portion of the wafer and the width of the mask located at the outer peripheral portion of the wafer. The magnetic film is processed to have a uniform track width. Ion milling is then performed to form the main magnetic pole having an inverted trapezoidal shape. | 09-24-2009 |
20090242508 | Method for manufacturing magnetic recording medium - A filling material different from a first mask layer (temporary coating material) is deposited over a workpiece to fill concave portions. At least part of excess portions of the filling material is removed by a dry etching method such that at least part of the side surfaces of the first mask layer over recording elements are exposed. Then, the first mask layer is removed by a dry etching method which uses a reactive gas having the property of chemically reacting with and removing both the filling material and the first mask layer as a processing gas and in which an etching rate for the first mask layer is higher than that for the filling material, and the etching rate for the filling material is higher than that for a recording layer (a lower layer that is in contact with a lower surface of the first mask layer over recording elements). | 10-01-2009 |
20090242509 | IMPRINT MOLD STRUCTURE AND IMPRINT METHOD USING THE SAME, AND METHOD FOR MANUFACTURING MAGNETIC RECORDING MEDIUM - Provided is an imprint mold structure containing: a substrate; and a concave-convex portion formed by arranging on one surface of the substrate convex portions with reference to the surface, the imprint mold structure being used for an imprint method containing a transferring step and a curing step, wherein the imprint mold structure satisfies the following formula 1: | 10-01-2009 |
20090255899 | ADDITIVE WRITE POLE PROCESS FOR WRAP AROUND SHIELD - A method for manufacturing a magnetic write head having a wrap around magnetic trailing shield and a very narrow track width. A magnetic write pole is formed by forming a mask over a magnetic write pole material and performing a first ion milling to define the write pole. The mask includes a hard mask layer such as diamond like carbon (DLC) and further mask layers formed over the hard mask layer. In order to facilitate manufacture at very narrow track widths processes are employed to remove re-deposited material and the remaining portions of the mask structure (except the hard mask). Further processing can then be employed without the risk of a very narrow mask structure and redep bending or breaking during later manufacturing steps. | 10-15-2009 |
20090261061 | Method for preventing fencing during process of forming an air-bearing surface on a slider substrate - The invention provides a method for preventing fencing during process of forming an ABS on a slider substrate includes the steps of: (a) providing a slider substrate having a photo-resist covered thereon, the photo-resist having a plurality of trenches developed thereon, the plurality of trenches collectively defining an air bearing surface pattern, the plurality of trench each having at least a non-vertical sidewall, the at least non-vertical sidewall including a zigzag-shaped edge adjoining the slider substrate; (b) etching the photo-resist by oxygen ions, such that the zigzag-shaped edge is trimmed to be a straight edge and the at least non-vertical sidewall is trimmed to be a vertical sidewall; and(c) etching the slider substrate exposed from the trenches such that a fencing-free air-bearing surface is formed on the slider substrate. | 10-22-2009 |
20090266789 | MANUFACTURING METHOD OF HEAT-ASSISTED MAGNETIC HEAD CONSTITUTED OF SLIDER AND LIGHT SOURCE UNIT - Provided is a manufacturing method of heat-assisted magnetic recording head, in which a light source unit can be easily joined to a slider with sufficiently high accuracy, under avoiding the excessive mechanical stress. The manufacturing method comprises the steps of: moving relatively the light source unit and the slider, while applying a sufficient voltage between an upper electrode of the light source and an electrode layer provided in the slider; and setting the light source unit and the slider in desired positions in a direction perpendicular to the element-integration surface of the slider substrate. The desired positions are positions where the light source just emits due to a surface contact between: the protruded portion of the lower surface of the light source; and the upper surface of the electrode layer, which is a portion of the wall surface of a step formed on the head part. | 10-29-2009 |
20090266790 | METHOD OF MAKING A MAGNETORESISTIVE READER STRUCTURE - A method of making a magnetoresistive sensor includes defining a track width of a magnetoresistive element stack of the sensor with a hard mask and photoresist. Further, processes of the method enable depositing of hard magnetic bias material on each side of the stack after the hard mask used to define the track width is removed. A separate chemical mechanical polishing (CMP) stop layer that is different from the hard mask enables subsequent creating of a planar surface via CMP to remove unwanted material on top of the sensor stack. | 10-29-2009 |
20090277870 | Method of manufacturing magnetic head for perpendicular magnetic recording - A magnetic head includes a pole layer, and an encasing layer having a groove that accommodates the pole layer. A manufacturing method for the magnetic head includes the steps of forming a nonmagnetic layer that will later undergo formation of the groove therein and will thereby become the encasing layer; forming the groove in the nonmagnetic layer so that the nonmagnetic layer becomes the encasing layer; and forming the pole layer such that the pole layer is accommodated in the groove of the encasing layer. The nonmagnetic layer is formed of Al | 11-12-2009 |
20090294402 | Method of manufacturing magnetic head for perpendicular magnetic recording - A magnetic head includes: a pole layer including a track width defining portion and a wide portion; and an encasing layer disposed on a bottom forming layer and having a groove that accommodates the pole layer. The groove includes a first portion for accommodating at least part of the track width defining portion, and a second portion for accommodating at least part of the wide portion. A manufacturing method for the magnetic head includes: the step of etching a nonmagnetic layer that will become the encasing layer later, such that an initial groove including the first portion is formed in the nonmagnetic layer; the step of forming an initial groove mask covering the first portion; and a second etching step for etching the nonmagnetic layer so as to complete the groove. When the second etching step starts, a portion of the top surface of the bottom forming layer located in a region in which the second portion is to be formed is covered with the nonmagnetic layer or the initial groove mask. | 12-03-2009 |
20090294403 | Method of forming mask pattern, method of forming thin film pattern and method of forming magnetoresistive element - In the present invention, provided is a method of forming a mask pattern by which a fine thin film pattern may be formed more easily with higher resolution and precision. In the method of forming a mask pattern, a photoresist pattern having an opening is formed on a substrate, then, an inorganic film is formed so as to cover the upper surface of the photoresist pattern and the inside of the opening, then the inorganic film on the upper surface of the photoresist pattern is removed by a dry etching process. Subsequently, an inorganic mask pattern is formed by removing the photoresist pattern. The inorganic mask pattern thus formed hardly produces an issue of deformation such as physical displacement even when it is heated in the dry etching process. | 12-03-2009 |
20090308837 | METHOD USING BLOCK COPOLYMERS FOR MAKING A MASTER MOLD WITH HIGH BIT-ASPECT-RATIO FOR NANOIMPRINTING PATTERNED MAGNETIC RECORDING DISKS - The invention is a method for making a master mold to be used for nanoimprinting patterned-media magnetic recording disks. The method uses conventional optical or e-beam lithography to form a pattern of generally radial stripes on a substrate, with the stripes being grouped into annular zones or bands. A block copolymer material is deposited on the pattern, resulting in guided self-assembly of the block copolymer into its components to multiply the generally radial stripes into generally radial lines of alternating block copolymer components. The radial lines of one of the components are removed and the radial lines of the remaining component are used as an etch mask to etch the substrate. Conventional lithography is used to form concentric rings over the generally radial lines. After etching and resist removal, the master mold has pillars arranged in circular rings, with the rings grouped into annular bands. | 12-17-2009 |
20090308838 | METHOD OF MANUFACTURING REPRODUCING HEAD - The method includes the processes of: forming a magnetoresistance effect layer on a work; forming a mask layer on the magnetoresistance effect layer; forming a reproducing element section by etching a part of the magnetoresistance effect layer, in which the mask layer is not formed; forming an insulating layer so as to coat the reproducing element section and the mask layer on the reproducing element section; forming a bias layer and a cap layer on the insulating layer; etching specific parts of the cap layer and the bias layer until parts of the bias layer are exposed; forming a protection layer so as to coat the exposed parts of the bias layer; and flattening the entire surface, by reducing a height of the layered body including the above described layers, without re-exposing the exposed parts. | 12-17-2009 |
20090314740 | MAGNETORESISTIVE EFFECT ELEMENT MANUFACTURING METHOD AND MULTI-CHAMBER APPARATUS FOR MANUFACTURING MAGNETORESISTIVE EFFECT ELEMENT - A magnetoresistive effect element manufacturing method includes a first step of preparing a magnetoresistive effect element including a magnetic film and a substrate, a second step of etching a predetermined region of the magnetic film by a reactive ion etching method, and a third step of exposing the magnetic film having undergone the second step to a plasma at an ion current density of 4×10 | 12-24-2009 |
20090321388 | Imprint stamper, manufacturing method of imprint stamper, magnetic recording medium, manufacturing method of magnetic recording medium and magnetic disk apparatus - An imprint stamper for manufacturing a magnetic recording medium with a plurality of recording bits includes a plurality of first concave portions to form the recording bits, a wall portion provided so as to separate the first concave portions from each other, and a second concave portion provided to the wall portion so as to connect one of the first concave portions and the other of the first concave portions adjacent to one of the first concave portions. | 12-31-2009 |
20090321389 | METHOD OF MANUFACTURING A MAGNETIC HEAD - A magnetic head suitable for high-density recording is provided at a high yield by a method that suppresses a reduction in reproducing output signal due to ion-beam irradiation. After an air-bearing surface of a read element, a magnetic-head element, or a row bar is mechanically polished, the air-bearing surface is irradiated with an ion beam, such that an orthographic projection of an ion-beam incidence direction onto the air-bearing surface forms an in-plane incidence angle of 30 degrees to 150 degrees or of 210 degrees to 330 degrees with respect to a track-width direction. Thereby, the formation of a short circuit due to ion-beam irradiation may be hindered. | 12-31-2009 |
20100000965 | METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM - According to one embodiment, a method of manufacturing a magnetic recording medium includes depositing a magnetic recording layer on a substrate, forming masks on areas corresponding to recording regions of the magnetic recording layer, partially etching the magnetic recording layer in areas not covered with the masks with an etching gas to form protrusions and recesses on the magnetic recording layer, modifying the magnetic recording layer remaining in the recesses with a modifying gas to form non-recording regions, and forming a protecting film on an entire surface. | 01-07-2010 |
20100000966 | METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM - According to one embodiment, a method of manufacturing a magnetic recording medium includes depositing a magnetic recording layer on a substrate, forming masks on areas corresponding to recording regions of the magnetic recording layer, partially etching the magnetic recording layer in areas not covered with the masks with an etching gas to form protrusions and recesses on the magnetic recording layer, modifying the magnetic recording layer remaining in the recesses with Ne gas to form non-recording regions, and forming a protecting film on an entire surface. | 01-07-2010 |
20100006537 | METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM - According to one embodiment, a method of manufacturing a magnetic recording medium includes depositing a magnetic recording layer on a substrate, the magnetic recording layer having a multi-layered structure of two or more layers at least one layer of which has a granular structure including CoCrPt alloy and SiO | 01-14-2010 |
20100018945 | SYSTEM, METHOD AND APPARATUS FOR BATCH VAPOR DEPOSITION OF ADHESION PROMOTER FOR MANUFACTURING DISCRETE TRACK MEDIA AND BIT-PATTERNED MEDIA, AND MONO-MOLECULAR LAYER LUBRICANT ON MAGNETIC RECORDING MEDIA - A batch vapor deposition process for applying adhesion promoter during manufacturing of nanoimprinted discrete track media and bit-patterned media, and mono-molecular layer lubricant on magnetic recording media are disclosed. The adhesion promoter is simultaneously coated on both sides of numerous disk substrates, and minimal solution is wasted. In another step, the lubricant is applied at a uniform thickness that is on the order of a single molecular layer. The lubricant is also applied on the entire disk surfaces while processing multiple disks at a time. Batch processing increases throughput, and vapor lubricant reduces costs compared to conventional techniques. Limited air exposure controls bonding and monolayer adsorption guarantees uniformity. | 01-28-2010 |
20100018946 | METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM - According to one embodiment, a method of manufacturing a magnetic recording medium includes forming a hard mask and a resist on a magnetic recording layer, imprinting a stamper on the resist to transfer patterns of protrusions and recesses, removing resist residues left in the recesses of the patterned resist, etching the hard mask using the patterned resist as a mask to transfer the patterns of protrusions and recesses, stripping the resist, and performing ion beam etching to remove the remaining hard mask and to modify a surface of the magnetic recording layer uncovered with the remaining hard mask. | 01-28-2010 |
20100018947 | METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM - According to one embodiment, a method of manufacturing a magnetic recording medium includes forming a magnetic recording layer, an oxidation inhibiting layer, a hard mask layer includes carbon on a substrate, coating the hard mask layer with a resist, transferring patterns of protrusions and recesses to the resist by imprinting to form resist patterns, sequentially performing etching of the hard mask layer using the resist patterns as masks, etching of the oxidation inhibiting layer, and etching and/or magnetism deactivation of the magnetic recording layer to form patterns of the magnetic recording layer, and sequentially performing stripping of the resist patterns, stripping of the hard mask layer and stripping of the oxidation inhibiting layer, in which ion beam etching is used for stripping the oxidation inhibiting layer. | 01-28-2010 |
20100025363 | SUBSTRATE PROCESSING APPARATUS, AND MAGNETIC RECORDING MEDIUM MANUFACTURING METHOD - The present invention provides a substrate processing apparatus capable of suppressing mutual contamination and/or damage of the insides of ion beam generators arranged opposite each other via a substrate, and a magnetic recording medium manufacturing method. A substrate processing apparatus according to an embodiment of the present invention includes a first ion beam generator that applies an ion beam to one surface to be processed of a substrate W, and a second ion beam generator that applies an ion beam to another surface to be processed, which are arranged opposite each other via the substrate W, and an area of a first grid in the first ion beam generator, and an area of a second grid in the second ion beam generator, each area corresponding to an opening of the substrate W, are occluded. | 02-04-2010 |
20100038340 | MAGNETIC RECORDING HEAD COATING AND METHOD - A method for encapsulating a magnetic recording head including coating at least a portion of a magnetic recording head containing a recording gap with a first layer of at least one coating material, including silicon nitride, the first layer of at least one coating material having a first removal rate, coating at least a portion of the magnetic recording head containing a recording gap and coated with the first layer of at least one coating material with a second layer of at least one coating material, including aluminum oxide, the second layer of at least one coating material having a second removal rate higher than the first removal rate, and removing at least a portion of the second layer of at least one coating material via a removal process, including chemical-mechanical polishing, lapping, or vacuum processing to at least partially planarize the surface of the recording gap. | 02-18-2010 |
20100044340 | METHOD OF FABRICATING MAGNETIC DEVICE - A magnetic device is fabricated by etching a magnetic film in an atmosphere of plasma using a non-organic film as a mask. An atmosphere of plasma is generated by using at least one kind of gasifying compound selected from a gasifying compound group consisting of ethers, aldehydes, carboxylic acids, esters and diones; and by using a non-organic material mask, etching a magnetic film or diamagnetic film which includes at least one kind of metal selected from a metal group consisting of VIII group, IX group and X group elements in a periodic table. As a gas in the atmosphere of plasma, at least one kind of gas selected from a gas group consisting of oxygen, ozone, nitrogen, H | 02-25-2010 |
20100059476 | METHOD FOR MANUFACTURING A MAGNETIC STORAGE MEDIUM - A method for manufacturing a magnetic storage medium that improves the flatness of the magnetic storage medium. A storage layer is formed on a substrate. Next, a resist mask is formed above the storage layer. Then, a pit is formed in the storage layer using the resist mask. Afterwards, a non-magnetic layer having a thickness that is in accordance with the depth of the pit is formed in the pit and above the resist mask. Subsequently, the resist mask and the non-magnetic layer formed above the resist mask are removed from the storage layer. | 03-11-2010 |
20100078406 | METHOD FOR MANUFACTURING A PERPENDICULAR MAGNETIC WRITE HEAD WITH A WRAP AROUND SHIELD - A method for manufacturing a write pole for a perpendicular magnetic write head. The method employs a damascene process to construct the write pole with a very accurately controlled track width. The method includes depositing a layer of material that can be readily removed by reactive ion etching. This material can be referred to as a RIEable material. A mask is formed over the RIEable material and a reactive ion etching is performed to form a tapered trench in the RIEAble material. A CMP stop layer can the be deposited, and a write pole plated into the trench. A CMP can then be performed to define the trailing edge of the write pole. Another masking, etching and plating step can be performed to form a trailing, wrap-around magnetic shield. | 04-01-2010 |
20100084372 | METHOD FOR FORMING A CONCAVO-CONVEX PATTERN AND A METHOD OF MANUFACTURING A PATTERNED MEDIUM TYPE MAGNETIC RECORDING MEDIUM - The invention provides a method of forming a concavo-convex pattern by partly removing a magnetic layer and a carbon protective layer in an intermediate product of a magnetic recording medium having at least the magnetic layer and the protective layer formed on a substrate surface, wherein the magnetic layer is partly removed to form the concavo-convex pattern by a dry etching method using a etching gas of a mixture gas of argon and a deposition gas containing one or more types of carbon compounds. Also disclosed is a method of manufacturing a patterned medium type magnetic recording medium employing the method of forming a concavo-convex pattern. As a result a concavo-convex pattern free of after-corrosion and exhibiting good productivity is provided | 04-08-2010 |
20100084373 | Method for manufacturing perpendicular magnetic recording medium - There is provided a method for manufacturing a perpendicular magnetic recording medium that includes a step for forming a smooth substrate surface without generating abnormal protrusions or the like when forming a magnetic film or the like on the surface of the substrate, the method for manufacturing a perpendicular magnetic recording medium characterized by including a polishing step in which the surface of a non-magnetic substrate is smoothed before forming the laminated structure on top of the non-magnetic substrate, wherein a polishing liquid used in the polishing step contains diamond particles within a range from 0.001 to 0.05% by mass and also contains a polishing accelerator within a range from 10 to 100 times the amount of diamond particles, and the polishing accelerator is an organic polymer material containing a sulfonic group or a carboxylic group and having an average molecular weight of 4,000 to 10,000. | 04-08-2010 |
20100084374 | MAGNETIC RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a method of manufacturing a magnetic recording medium comprises forming a protective film on a ferromagnetic recording layer containing Cobalt (Co) on a substrate and forming a recess in both the protective film and the ferromagnetic recording layer at a part where a nonmagnetic layer is to be formed. The method further comprises removing Co from a part of the recess of the ferromagnetic recording layer to form the nonmagnetic layer that separates magnetic patterns made of the ferromagnetic recording layer containing Co. The nonmagnetic layer has an identical chemical composition as the ferromagnetic recording layer, except for the nonmagnetic layer having a lower Co concentration than the magnetic patterns. | 04-08-2010 |
20100089867 | FERROELECTRIC RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME - Provided are a ferroelectric recording medium and a method of manufacturing the same. The ferroelectric recording medium includes a substrate, a plurality of supporting layers which are formed on the substrate, each of the supporting layers having at least two lateral surfaces; and data recording layers formed on the lateral surfaces of the supporting layers. First and second data recording layers may be respectively disposed on two facing lateral surfaces of each of the supporting layers. The supporting layers may be polygonal pillars having at least three lateral surfaces. A plurality of the supporting layers can be disposed at uniform intervals in a two-dimensional array. | 04-15-2010 |
20100102028 | METHOD OF MANUFACTURING NANO-TEMPLATE FOR A HIGH-DENSITY PATTERNED MEDIUM AND HIGH-DENSITY MAGNETIC STORAGE MEDIUM USING THE SAME - Disclosed is a method for manufacturing a template for a high-density patterned medium and a high-density magnetic storage medium using the same. In the method, magnetic particles are used as a mask and no lithographic process is required. | 04-29-2010 |
20100133229 | Method of Manufacturing a Magnetic Recording Medium - A method of manufacturing a magnetic recording medium avoiding degradation of magnetic performance due to a manufacturing process, including forming a mask protective film on a magnetic layer; forming a resist with a predetermined pattern on the mask protective film; forming a protective mask by etching the mask protective film using the resist as a mask; forming protrusions and recesses on a magnetic layer by etching the magnetic layer using the resist and the protective mask as masks; removing the protective mask; and forming a protective layer on the magnetic layer having the protrusions and recesses; where the magnetic recording medium is not exposed to the atmosphere during a period of time at least from the step of removing the protective mask to the step of forming the protective layer. | 06-03-2010 |
20100140213 | APPARATUS FOR MANUFACTURING CARBON NANO TUBES AND METHOD OF SORTING CARBON NANO TUBES - An apparatus for manufacturing carbon nano tubes of an aspect of the present invention including an introducing unit commonly introducing a first carbon nano tube having first magnetic characteristics and a second carbon nano tube having second magnetic characteristics different from the first magnetic characteristics, first and second collecting units collecting the first and second carbon nano tubes, respectively, a transport unit transporting the first and second carbon nano tubes from the introducing unit to the first and second collecting units, and at least one of a magnetic field generating unit which is provided adjacent to the transport unit and applies a magnetic field to the first and second carbon nano tubes, wherein the first carbon nano tube and the second carbon nano tube are sorted by the magnetic field generating unit. | 06-10-2010 |
20100140214 | MANUFACTURING METHOD FOR MAGNETIC RECORDING MEDIUM - The method for manufacturing a magnetic recording medium in a shape of a disk includes forming convex sections repeating on the upper surface of an intermediate layer in the radial direction of the disk, and each having an upper surface that repulses magnetic particles; and filling the magnetic particles into concave sections sandwiched by the convex sections in a self-assembling way to form a magnetic recording layer with the magnetic particles. | 06-10-2010 |
20100147791 | METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM - According to one embodiment, a method of manufacturing a magnetic recording medium includes forming a first hard mask, a second hard mask and a resist film on a magnetic recording layer, imprinting a stamper on the resist film to transfer patterns of recesses and protrusions, removing residues remained in recess of the patterned resist film, etching the second hard mask using the patterned resist film as a mask to transfer patterns of recesses and protrusions, etching the first hard mask using the patterned second hard mask as a mask to transfer patterns of recesses and protrusions, and deactivating magnetism of the magnetic recording layer exposed in the recesses together with removing the second hard mask by ion-beam etching. | 06-17-2010 |
20100147792 | MAGNETIC RECORDING HEAD AND METHOD OF MANUFACTURING THE SAME - A magnetic recording head and a method of manufacturing the same. The magnetic recording head includes a stack containing a main pole and a return pole. The stack includes a first magnetic layer having a groove formed therein; an insulating layer covering a surface of the groove; and a second magnetic layer pattern filling the groove covered with the insulating layer. | 06-17-2010 |
20100155366 | METHOD FOR CREATING A MAGNETIC WRITE POLE HAVING A STEPPED PERPENDICULAR POLE VIA CMP-ASSISTED LIFTOFF - A method for manufacturing a magnetic write head having a stepped, recessed, high magnetic moment pole connected with a write pole. The stepped pole structure helps to channel magnetic flux to the write pole without leaking write field to the magnetic medium. This allows the write head to maintain a high write field strength at very small bit sizes. The method includes depositing a dielectric layer and a first CMP layer over substrate that can include a magnetic shaping layer. A mask is formed over the dielectric layer, the mask having an opening to define the stepped pole structure. The image of the mask is transferred into the dielectric layer. A high magnetic moment material is deposited and a chemical mechanical polishing is performed to planarize the magnetic material and dielectric layer. | 06-24-2010 |
20100155367 | METHOD FOR MANUFACTURING A MAGNETIC WRITE HEAD HAVING A HARD MASK DEFINED WRITE POLE TRAILING EDGE STEP - A method for manufacturing a magnetic write head having a write pole with a tapered trailing edge step. The resulting tapered trailing edge step maximizes write field at very small bit sizes by preventing the magnetic saturation of the write pole at the pole tip. The method includes depositing a magnetic write pole material and then depositing a magnetic material over the magnetic write pole material. A RIE mask and hard mask are deposited over the magnetic bump material. A resist mask is formed over the RIE mask and hard mask, and a reactive ion etching is performed to transfer the pattern of the resist mask onto the underlying hard mask. Then an ion milling is performed to form a the magnetic step layer with a tapered edge that defines a tapered trailing edge step structure of the write pole. | 06-24-2010 |
20100163519 | Method for manufacturing CPP-type magnetoresistance effect element - A method for manufacturing a thin film magnetic head includes a step for forming an MR layered body; a step for forming a first sacrificial layer made of material removable by wet etching, and subsequently, forming a cap layer on the upper surface of the first sacrificial layer; further, a step for patterning the MR layered body and the cap layer and then filling part of the removed areas of the MR layered body and the cap layer with a bias magnetic layer and the remaining with insulating layers; a step for removing the cap layer by dry etching and, subsequently, removing the first sacrificial layer by wet etching; and a step for forming a second shield layer above the MR layered body and the bias magnetic layer. | 07-01-2010 |
20100163520 | STRUCTURE FORMATION USING METAL DEPOSITED ON A RIE-ABLE SEEDLAYER - Methods for fabricating a device component are provided. A substrate comprising a RIE stop layer, an oxide layer formed on the RIE stop layer, and a RIE-able layer formed on the oxide layer may be provided. A resist layer may be patterned on the RIE-able layer. A metal layer may be formed on portions of the RIE-able layer that are not covered by the resist layer. The resist layer may be removed and an RIE performed to remove exposed portions of the RIE-able layer and portions of the oxide layer beneath the exposed portions of the RIE-able layer. Thereafter, the metal layer may be removed, and the component may be formed in an opening in the oxide layer formed during the RIE. | 07-01-2010 |
20100163521 | SYSTEM, METHOD AND APPARATUS FOR FABRICATING A C-APERTURE OR E-ANTENNA PLASMONIC NEAR FIELD SOURCE FOR THERMAL ASSISTED RECORDING APPLICATIONS - A method of fabricating a c-aperture or E-antenna plasmonic near field source for thermal assisted recording applications in hard disk drives is disclosed. A c-aperture or E-antenna is built for recording head applications. The technique employs e-beam lithography, partial reactive ion etching and metal refill to build the c-apertures. This process strategy has the advantage over other techniques in the self-alignment of the c-aperture notch to the c-aperture internal diameter, the small number of process steps required, and the precise and consistent shape of the c-aperture notch itself. | 07-01-2010 |
20100163522 | METHOD FOR MANUFACTURING A WRITE POLE OF A MAGNETIC WRITE HEAD FOR MAGNETIC DATA RECORDING - A method for manufacturing a magnetic write head. The write head is constructed by a method that includes depositing a magnetic write pole material and then depositing a hard mask over the magnetic material. An inorganic image transfer layer is formed over the hard mask. SiC, alumina, SiO | 07-01-2010 |
20100163523 | FORMATION OF LOW RESISTANCE DAMASCENE COILS - In one embodiment and method of the present invention, a coil of a write head is created by forming a P1 pedestal layer and a back gap layer and further forming a coil pattern consistent with the coil to be formed and insulator spacers dispersed in the coil pattern, using a non-damascene process, thereafter the coil is formed by plating using a damascene process. | 07-01-2010 |
20100170869 | METHOD FOR MANUFACTURING MAGNETIC HEAD - The present invention relates to a method for manufacturing a perpendicular recording magnetic head, more particularly, to a method for manufacturing a main magnetic pole film with a wrap-around structure. After the main magnetic pole film with a body portion of a large plane area and a write magnetic pole portion continuous with the body portion and elongated in a plane area is formed on one face of a non-magnetic film, the one face of the non-magnetic film is etched. Then, it also includes the step of further etching the one face of the non-magnetic film with an undercut, which is caused by the etching around the body portion and beneath the write magnetic pole portion, being at least partially filling with an organic filler. | 07-08-2010 |
20100181286 | METHOD FOR MANUFACTURING A CIRCUMFERENTIALLY PATTERNED DISK FOR LONGITUDINAL AND PERPENDICULAR RECORDING - A method for constructing a magnetic medium for use in data recording that has a series of concentric magnetic track portions separated from one another by non-magnetic portions or gap portions. The disk may be manufactured by depositing first and second materials sequentially onto a rotating tube, the first and second materials having different etch rates. The tube may then be sliced into disks and the disks subjected to a reactive ion etch (RIE) to form a disk surface having concentric raised portions separated by concentric recessed portions. A magnetic material can then be deposited. An optional chemical mechanical polishing process can then be performed to planarize the surface, resulting in a planar surface having rings of magnetic material separated by rings of non-magnetic material. | 07-22-2010 |
20100187197 | METHOD FOR MANUFACTURING A VERTICAL MAGNETIC RECORDING MEDIUM - A method for manufacturing a vertical magnetic recording medium that has: a substrate; a soft magnetic layer formed on the substrate; a magnetic recording layer formed directly on the soft magnetic layer or formed on the soft magnetic layer with an intermediate layer therebetween, and having an axis of easy magnetization perpendicular to a surface thereof, in which a plurality of grooves dividing the magnetic recording layer into a plurality of recording elements, the method including a step of forming the grooves by reactive ion etching using a gas containing at least halogen and oxygen, and using the hard mask layer as a mask. | 07-29-2010 |
20100187198 | MAGNETORESISTIVE (MR) ELEMENT HAVING A CONTINUOUS FLUX GUIDE DEFINED BY THE FREE LAYER - Magnetoresistive (MR) elements having flux guides defined by the free layer are disclosed. The MR element includes a free layer, a spacer/barrier layer, a pinned layer, and a pinning layer. A back edge of the free layer (opposite the sensing surface of the MR element) extends past a back edge of the spacer/barrier layer. The portion of the free layer extending past the back edge of the spacer/barrier layer defines a continuous flux guide. The flux guide is processed to reduce the conductive characteristics of the flux guide, thereby reducing current shunt loss in the flux guide. | 07-29-2010 |
20100187199 | SELF-ALIGNED COIL PROCESS IN MAGNETIC RECORDING HEADS - In one embodiment of the present invention, a write head includes a first pole P | 07-29-2010 |
20100213163 | SHAPING METHOD OF THIN FILM AND MANUFACTURING METHOD OF PERPENDICULAR RECORDING MAGNETIC HEAD USING THE SAME - The present invention relates to a shaping method of a thin film layer and a manufacturing method of a perpendicular recording magnetic head using the same. In the thin film layer shaping method according to the present invention, since a second thin film of a lower etching rate is etched by a preliminary etching amount allowing for a difference between the etching rate of the second thin film and an etching rate of a first thin film in side-by-side relationship with each other, both the first and second thin films can be etched by the same etching amount through a subsequent etching step, so that the thin film layer can be shaped into a given shape. Thus, the surface of the thin film layer can be planarized. | 08-26-2010 |
20100219156 | THREE-DIMENSIONAL MAGNETIC STRUCTURE FOR MICROASSEMBLY - Micro structures and methods for creating complex, 3-dimensional magnetic micro components and their application for batch-level microassembly. Included is a method for making complex, 3-dimensional magnetic structures by depositing a first photoimageable magnet/polymer material on a substrate and patterning to form at least one first active magnetic area and at least one first sacrificial area, then depositing a second photoimageable magnet/polymer material and patterning to form at least one second active magnetic area and at least one second sacrificial area, and then removing the first sacrificial area and the second sacrificial area. Also included is a micro structure self assembly method, the method including providing a substrate having at least one magnetic receptor site, and engaging a 3-dimensional magnetic micro structure having a magnetic micro component with the substrate by aligning the magnetic micro component with the magnetic receptor site. | 09-02-2010 |
20100230383 | ULTRAVIOLET-CURABLE RESIN MATERIAL FOR PATTERN TRANSFER AND MAGNETIC RECORDING MEDIUM MANUFACTURING METHOD USING THE SAME - According to one embodiment, this invention uses an ultraviolet-curable resin material for pattern transfer containing 80 to 95 wt % of isobornyl acrylate, 1 to 20 wt % of trifunctional acrylate, and 0.5 to 6 wt % of a polymerization initiator. | 09-16-2010 |
20100230384 | ULTRAVIOLET-CURING RESIN MATERIAL FOR PATTERN TRANSFER AND MAGNETIC RECORDING MEDIUM MANUFACTURING METHOD USING THE SAME - According to one embodiment, an ultraviolet-curing resin material for pattern transfer contains at least one of 2-methyl-2-adamantyl acrylate, 2-ethyl-2-adamantyl acrylate, and 1,3-adamantanedimethanol diacrylate, isobornyl acrylate, polyfunctional acrylate, and a polymerization initiator, or contains at least one of the acrylates described above, a polymerization initiator, and fluorine-based alcohol. | 09-16-2010 |
20100237040 | Method for manufacturing glass stamper, glass stamper, and method for manufacturing magnetic recording medium - A method for manufacturing a glass stamper includes the following steps. First, a diamond film is formed on a substrate. A resist is applied onto the diamond film and a pattern is formed by performing electron beam lithography and development. The diamond film is etched with any one of oxygen and Ar gas using the pattern on the resist as a mask, thereby transferring the pattern to the diamond film. The resist and the substrate are removed to fabricate a diamond mold. Then, a glass stamper is manufactured by glass molding using the diamond mold. | 09-23-2010 |
20100237041 | MAGNETIC RECORDING MEDIUM MANUFACTURING METHOD - According to one embodiment, a cured first ultraviolet-curing resin material layer having a first three-dimensional pattern is formed on a first principal surface of a magnetic recording medium having a central hole. A cured second ultraviolet-curing resin material layer having a second three-dimensional pattern is formed on a second principal surface opposite to the first principal surface of the magnetic recording. | 09-23-2010 |
20100237042 | PROCESS FOR OPTIMIZATION OF ISLAND TO TRENCH RATIO IN PATTERNED MEDIA - A sequence of process steps having balanced process times are implemented in sequence of etch chambers coupled linearly and isolated one from the other, resulting in the optimization of island to trench ratio for a patterned media. A biased chemical etching using active etching gas is used to descum and trim the resist patterns. An inert gas sputter etch is performed on the magnetic layers, resulting in the patterned magnetic layer on the disk. A final step of stripping is then performed to remove the residual capping resist and carbon hard mask on top of un-etched magnetic islands. The effective magnetic material remaining on the disk surface can be optimized by adjusting the conditions of chemical etch and sputter etch conditions. Relevant process conditions that may be adjusted include: pressure, bias, time, and the type of gas in each step. | 09-23-2010 |
20100276389 | TWO-AXIS MAGNETIC FIELD SENSOR WITH SUBSTANTIALLY ORTHOGONAL PINNING DIRECTIONS - A fabrication process and apparatus provide a high-performance magnetic field sensor ( | 11-04-2010 |
20100276390 | METHOD OF MASTERING PRECISE DOT ARRAY FOR BIT-PATTERNED MEDIA - A method of producing bit-patterned media master is provided whereby down-track and cross-track deflection plates are used to position an electronic beam at two (or more) adjacent tracks during the same revolution. Adjacent tracks of a bit-patterned media can be mastered simultaneously in the present invention by keeping the electronic beam ON during the entire time that the master is being created and the deflection plates are used to quickly ping-pong the electronic beam between the two (or more) adjacent tracks. | 11-04-2010 |
20100301007 | Method of manufacturing magnetic head for perpendicular magnetic recording including two side shields - A magnetic head includes a pole layer, first and second side shields, and an encasing layer having first to third grooves that accommodate the pole layer and the first and second side shields. A manufacturing method for the magnetic head includes the step of forming the first to third grooves in a nonmagnetic layer by using an etching mask layer having first to third openings. This step includes the steps of forming the first groove by etching the nonmagnetic layer using the first opening, with the second and third openings covered with a first mask; and forming the second and third grooves by etching the nonmagnetic layer using the second and third openings, with the first opening covered with a second mask. | 12-02-2010 |
20100301008 | PROCESS AND APPARATUS FOR FABRICATING MAGNETIC DEVICE - Process and apparatus for fabricating a magnetic device is provided. Magnetic and/or nonmagnetic layers in the device are etched by a mixed gas of a hydrogen gas and an inert gas such as N | 12-02-2010 |
20100308011 | METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM - A step of subjecting a carbon film deposited and adhering onto a surface of the carrier to an ashing removal in oxygen-including gas is executed after a step of detaching a magnetic recording medium after film formation from the carrier and before a step of attaching a next film-formation substrate to the carrier, and a pulsed voltage bias is applied to the carrier when executing the step of subjecting the carbon film to the ashing removal. Further, at an initial stage of the step of subjecting the carbon film to the ashing removal, a concentration of inactive gas in the plasma is increased as compared with an oxygen gas concentration and the oxygen gas concentration is then increased as compared with the concentration of the inactive gas. As a result, the carbon film deposited on the substrate-holding carrier is effectively reduced, generation of particles to follow peeling off the deposited film is suppressed, and emission of outgas originating from the carbon film deposited on the surface of the carrier is suppressed. | 12-09-2010 |
20100308012 | MAGNETIC DEVICE MANUFACTURING METHOD - A method for manufacturing a magnetic device that obtains sufficient processing accuracy without increasing mask removal steps. A first mask layer is formed above a magnetic layer using one selected from the group consisting of Ti, Ta, W, and an oxide or a nitride thereof. A second mask layer is formed on the first mask layer using Ru or Cr. A resist pattern is formed on the second mask layer. A second mask pattern is formed by performing reactive ion etching with reactive gas containing oxygen on the second mask layer using the resist pattern. A first mask pattern is formed by performing reactive ion etching with reactive gas containing halogen gas on the first mask layer using the second mask pattern. A magnetic pattern is formed by performing reactive ion etching with reactive gas containing oxygen on the magnetic layer using the first mask pattern. | 12-09-2010 |
20110000879 | METHOD OF MANUFACTURING A MASTER INFORMATION CARRIER FOR MAGNETIC TRANSFER AND A METHOD OF MANUFACTURING A MAGNETIC RECORDING MEDIUM - A method of easily manufacturing a master disk for magnetic transfer is disclosed. The method of the invention facilitates separation of the master disk and a slave disk to be transferred even after conducting a magnetic transfer process after adhering the two disks by pressing or exhaustion for the purpose of enhancing transfer performance. A method of manufacturing a master disk comprises a step of forming recesses by eliminating selected parts of a surface region of soft magnetic layer | 01-06-2011 |
20110000880 | METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM AND MAGNETIC RECORDING MEDIUM - According to one embodiment, a method of manufacturing a magnetic recording medium includes forming a resist on a magnetic recording layer, imprinting a stamper to the resist to transfer patterns of protrusions and recesses, and partially etching the magnetic recording layer in areas not covered with patterns of the resist used as masks by ion beam etching using a mixed gas of He and N | 01-06-2011 |
20110006033 | Magnetic Device Definition with Uniform Biasing Control - A method of fabricating a magnetic device is described. A mask removing layer is formed on a layered sensing stack and a hard mask layer is formed on the mask removing layer. A first reactive ion etch is performed with a non-oxygen-based chemistry to define the hard mask layer using an imaged layer formed on the hard mask layer as a mask. A second reactive ion etch is performed with an oxygen-based chemistry to define the mask removing stop layer using the defined hard mask layer as a mask. A third reactive ion etch is performed to define the layered sensing stack using the hard mask layer as a mask. The third reactive ion etch includes an etching chemistry that performs at a lower etching rate on the hard mask layer than on the layered sensing stack. | 01-13-2011 |
20110006034 | METHOD FOR REMOVING IMPLANTED PHOTO RESIST FROM HARD DISK DRIVE SUBSTRATES - A method of removing resist material from a substrate having a magnetically active surface is provided. The substrate is disposed in a processing chamber and exposed to a fluorine-containing plasma formed from a gas mixture having a reagent, an oxidizing agent, and a reducing agent. A cleaning agent may also be included. The substrate may be cooled by back-side cooling or by a cooling process wherein a cooling medium is provided to the processing chamber while the plasma treatment is suspended. Substrates may be flipped over for two-sided processing, and multiple substrates may be processed concurrently. | 01-13-2011 |
20110011830 | Magnetic Recording Media, Magnetic Recording Apparatus, and Method for Manufacturing Magnetic Recording Media - A magnetic recording media includes a toroidal substrate, a surface thereof is divided into a recording area located in a central part between an outer peripheral edge and an inner peripheral edge, edge areas located within 100 μm or more and 2,000 μm or less from the outer and inner peripheral edges, respectively, and adjacent areas located between the edge areas and the recording area, respectively, a magnetic film on the substrate, and a protective film on the magnetic film, in which the magnetic film is thinner in the edge areas than that in the adjacent areas, and at least a part of the protective film in the edge areas is thicker than that in the adjacent areas. | 01-20-2011 |
20110024387 | TIP TYPE PROBE MANUFACTURING METHOD, TIP TYPE PROBE AND TIP TYPE PROBE MANUFACTURING APPARATUS - An easy and high-efficient tip probe manufacturing method is provided. The manufacturing method of a tip type probe having a metal film on side surfaces of a truncated pyramid formed of a top surface and the side surfaces includes the steps of: forming an etching mask of a shape similar to a shape of the top surface on a substrate; forming the truncated pyramid by subjecting the substrate to isotropic etching using the etching mask as a mask member; stopping the isotropic etching after an area of the top surface becomes smaller than an area of the etching mask; and forming the metal film by allowing film forming particles to round about and enter into a space between the etching mask and the side surfaces. | 02-03-2011 |
20110024388 | METHOD FOR MANUFACTURING MAGNETIC RECORDING MEDIUM - A method for manufacturing a magnetic recording medium is provided which can sufficiently reduce variations in surface roughness even in the simultaneous presence of a region of a relatively wide concave and convex portion and a region of a relatively narrow concave and convex portion in the recording layer. The method includes the steps of etching a recording layer based on a (first) mask layer to process it in a concavo-convex pattern, and depositing a filler over the recording layer and the mask layer to fill a concave portion with the filler. In between those steps, provided is the step of removing part of the first mask layer over a recording element (a convex portion of the recording layer) by dry etching in which an etching rate for the mask layer is higher than that for the recording layer so that the mask layer remains over the recording element. | 02-03-2011 |
20110042349 | DAMASCENE WRITE POLES PRODUCED VIA FULL FILM PLATING - A method for forming a write pole comprises forming a stop layer over a substrate layer of a wafer, the stop layer having an opening above a damascene trench in the substrate layer, and forming a buffer layer over the stop layer, the buffer layer having an opening above the opening of the stop layer. The method further comprises plating a layer of magnetic material over the wafer, disposing a first sacrificial material over a region of the magnetic material above the damascene trench, performing a milling or etching operation over the wafer to remove the magnetic material not covered by the first sacrificial material and to remove the first sacrificial material, disposing a second sacrificial material over the wafer, and performing a polishing operation over the wafer to remove the region of the magnetic material above the damascene trench, the second sacrificial material, and the buffer layer. | 02-24-2011 |
20110049089 | ULTRAVIOLET-CURABLE RESIN MATERIAL FOR PATTERN TRANSFER AND MAGNETIC RECORDING MEDIUM MANUFACTURING METHOD USING THE SAME - According to one embodiment, an ultraviolet curing curable resin material for pattern transfer is provided. The resin contains isobornyl acrylate, an acrylate having a fluorene skeleton, a polyfunctional acrylate, and a polymerization initiator. | 03-03-2011 |
20110049090 | METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM - According to one embodiment, a method of manufacturing a magnetic recording medium includes forming a magnetic recording layer, an etching protection layer, and an adhesion layer on a substrate, applying a resist on the adhesion layer, transferring patterns of protrusions and recesses on the resist by imprinting to form a resist pattern, patterning the adhesion layer by using the resist pattern as a mask, patterning the etching protection layer by using the resist pattern as a mask, etching the magnetic recording layer by using patterns of the adhesion layer and the etching protection layer as masks to form patterns of protrusions and recesses of the magnetic recording layer and removing the pattern of the adhesion layer, stripping the pattern of the etching protection layer, and exposing the patterns of protrusions and recesses of the magnetic recording layer to a non-ionized reducing gas. | 03-03-2011 |
20110056908 | METHOD AND APPARATUS FOR MANUFACTURING MAGNETIC RECORDING MEDIUM - There is provided a method for manufacturing a magnetic recording medium which manufactures media by employing the same in-line apparatus and which is capable of reducing the contamination due to the handling and of enhancing the productivity,
| 03-10-2011 |
20110089139 | PROCESS FOR FABRICATING ULTRA-NARROW DIMENSION MAGNETIC SENSOR - A method for manufacturing a magnetoresistive read sensor that allows the sensor to be constructed with clean well defined side junctions, even at very narrow track widths. The method involves using first and second etch mask layers, that are constructed of materials such that the second mask (formed over the first mask) can act as a mask during the patterning of the first mask (bottom mask). The first mask has a well defined thickness that is defined by deposition and which is not affected by the etching processes used to define the mask. This allows the total ion milling etch mask thickness to be well controlled before the ion milling process used to define the sensor side walls. | 04-21-2011 |
20110089140 | PROCESS FOR FABRICATING ULTRA-NARROW TRACK WIDTH MAGNETIC SENSOR - A method for manufacturing a magnetoresistive sensor at very small dimensions with well a controlled track width and clean damage free side wall junctions. The method uses nano-imprinting rather than photolithography to pattern a resist layer. This eliminates the track width variations inherent in photolithographic patterning. The use of nano-imprinting also eliminates the need for a bottom anti-reflective coating beneath the resist layer, thereby also eliminating the need for an additional etch process to remove the bottom anti-reflective coating, which would also cause variations in track width. | 04-21-2011 |
20110100953 | METHOD FOR PRODUCING THIN FILM MAGNETIC HEAD HAVING MAGNETORESISTIVE EFFECT ELEMENT - A method for producing a thin film magnetic head including a magnetoresistive effect element (MR element) that has a magnetic sensor multi-layered film with a polygonal shape such that a vertex angle faces an air bearing surface (ABS) and a tip of the vertex angle is cut when the magnetic sensor multi-layered film is viewed from an X-Y plane that is parallel to a plane of a lower shield electrode layer includes a step for stopping a lapping process by using a measurement point in which a resistance value is steeply increased while the lapping face is gradually approaching the vertex angle of polygonal shape by lapping from the ABS side. Therefore, an excellent effect in which an ultra narrow track width that exceeds limits of photolithography technology can be securely and constantly formed is obtained. | 05-05-2011 |
20110100954 | PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS - In processing a magnetic film composed for example of Fe, Co or Ni formed on a substrate and a nonvolatile metal containing the same in a vacuum reactor using a plasma generating gas for generating plasma and a gas containing C and O, a power applied to an antenna for generating plasma is time-modulated, wherein the feeding of gas containing C and O to the vacuum reactor is synchronized with the time-modulated antenna power so that the supply of gas containing C and O to the vacuum reactor is suppressed when the antenna power is high and the gas containing C and O is fed to the vacuum reactor when the antenna power is low. | 05-05-2011 |
20110108520 | Method for manufacturing perpendicular magnetic head including a main pole surrounded by shield layers - A method of manufacturing a perpendicular magnetic head having a writing element that writes magnetic information to a recording medium includes forming a main magnetic pole part generating a magnetic field on a substrate; removing at least a part of the substrate and a material existing at a circumference of the main magnetic pole part to expose an entire circumference of the main magnetic pole part at a surface that becomes an opposing medium surface (ABS) opposite to the recording medium; forming a shield gap film that is made of a nonmagnetic material so as to cover the entire circumference of the main magnetic pole part at least at the surface that becomes the ABS; and forming a shield layer so as to cover an entire circumference of the shield gap film at least at the surface that becomes the ABS. | 05-12-2011 |
20110127234 | Method of manufacturing magnetic head for perpendicular magnetic recording with shield around main magnetic pole - A manufacturing method for a magnetic head includes the steps of; forming a structure on a lower shield, the structure including a lower gap, a main magnetic pole and first and second side gaps; forming first and second side shields; forming an upper gap; and forming an upper shield. In the step of forming the structure, an initial lower gap layer is formed on the lower shield, the initial lower gap layer including a pre-lower-gap portion, and two to-be-removed portions that are located on opposite sides of the pre-lower-gap portion. Then, a protrusion including the main magnetic pole and the first and second side gaps is formed on the pre-lower-gap portion. With the top surface of the protrusion covered with a mask, the initial lower gap layer is etched in part to thereby form the lower gap. | 06-02-2011 |
20110132868 | Polishing composition for polishing silver and alumina, and polishing method using the same - An object to be polished includes a support body and a silver thin film. The support body has a surface to be polished and a trench that opens in the surface to be polished. At least part of the support body, including the surface to be polished, is made of alumina. The silver thin film is formed to fill the trench of the support body. A polishing composition is for use in a process of polishing the silver thin film and the surface to be polished of the object to be polished by chemical mechanical polishing. The polishing composition contains silica abrasive grains, nitric acid, hydrogen peroxide, and benzotriazole. The polishing composition is such one that the polishing rate of silver divided by the polishing rate of alumina in the process of polishing is equal to or higher than 5. | 06-09-2011 |
20110132869 | MAGNETIC WRITE HEAD MANUFACTURED BY DAMASCENE PROCESS PRODUCING A TAPERED WRITE POLE WITH A NON-MAGNETIC STEP AND NON-MAGNETIC BUMP - A method for manufacturing a magnetic write head having a non-magnetic step layer, non-magnetic bump at the front of the non-magnetic step layer and a write pole with a tapered trailing edge. The tapered portion of the trailing edge of the write pole is formed by a two step process that allows the write pole taper to be formed with greater accuracy and repeatability than would be possible using a single step taper process. An alternative method is also described on how to make a non-magnetic bump structure with adjustable bump throat height prior to Damascene side shield gap formation in a Damascene wrap around shield head. | 06-09-2011 |
20110147343 | Method of manufacturing magnetic head for perpendicular magnetic recording - A magnetic head includes: a pole layer including a track width defining portion and a wide portion; and an accommodation layer disposed on a bottom forming layer and having a groove that accommodates the pole layer. The groove includes a first portion for accommodating at least part of the track width defining portion, and a second portion for accommodating at least part of the wide portion. A manufacturing method for the magnetic head includes the steps of; forming a groove defining layer on a nonmagnetic layer that is intended to later become the accommodation layer; forming a mask that covers an area of the nonmagnetic layer where to form the first portion of the groove; etching the nonmagnetic layer so that the second portion of the groove is formed in the nonmagnetic layer; removing the mask; and taper-etching the nonmagnetic layer so that the first portion of the groove is formed in the nonmagnetic layer and the groove is thereby completed. | 06-23-2011 |
20110155690 | POLISHING METHOD - The present invention relates to a method for polishing a substrate to be polished, including polishing a Ni—P-plated aluminum alloy substrate as the substrate to be polished while keeping a polishing composition in contact with a polishing pad. The polishing composition contains an abrasive, an acid, an oxidizing agent, a heterocyclic aromatic compound, an aliphatic amine compound or alicyclic amine compound, and water. The heterocyclic aromatic compound includes two or more nitrogen atoms in its ring structure, the aliphatic amine compound or alicyclic amine compound includes two to four nitrogen atoms in its molecules, and the polishing composition has a pH of 3.0 or less. | 06-30-2011 |
20110155691 | MANUFACTURING METHOD OF MAGNETIC RECORDING MEDIUM - The present invention provides a manufacturing method of a magnetic recording medium capable of reducing the deterioration of a recording layer and improving the Duty cycle of the recording layer. An embodiment of the present invention is a manufacturing method of a patterned recording medium such as BPM (Bit Patterned Media) and DTM (Discrete Track Media). The manufacturing method has a deposition step of depositing a resist protective film on a resist pattern formed on a workpiece containing a recording layer, and a recording layer processing step of processing the recording layer into a pattern shape by dry etching using the resist pattern and the resist protective film as a mask. | 06-30-2011 |
20110163065 | SYSTEM FOR BATCH PROCESSING OF MAGNETIC MEDIA - A method and apparatus for processing multiple substrates simultaneously is provided. Each substrate may have two major active surfaces to be processed. The apparatus has a substrate handling module and a substrate processing module. The substrate handling module has a loader assembly, a flipper assembly, and a factory interface. Substrates are disposed on a substrate carrier at the loader assembly. The flipper assembly is used to flip all the substrates on a substrate carrier in the event two-sided processing is required. The factory interface positions substrate carriers holding substrates for entry into and exit from the substrate processing module. The substrate processing module comprises a load-lock, a transfer chamber, and a plurality of processing chambers, each configured to process multiple substrates disposed on a substrate carrier. | 07-07-2011 |
20110198314 | Method to fabricate small dimension devices for magnetic recording applications - A three step ion beam etch (IBE) sequence involving low energy (<300 eV) is disclosed for trimming a sensor critical dimension (free layer width=FLW) to less than 50 nm. A first IBE step has a steep incident angle with respect to the sensor sidewall and accounts for 60% to 90% of the FLW reduction. The second IBE step has a shallow incident angle and a sweeping motion to remove residue from the first IBE step and further trim the sidewall. The third IBE step has a steep incident angle to remove damaged sidewall portions from the second step and accounts for 10% to 40% of the FLW reduction. As a result, FLW approaching 30 nm is realized while maintaining high MR ratio of over 60% and low RA of 1.2 ohm-μm | 08-18-2011 |
20110210095 | METHOD OF MANUFACTURING NEAR-FIELD LIGHT GENERATING ELEMENT AND METHOD OF MANUFACTURING HEAT-ASSISTED MAGNETIC RECORDING HEAD - A near-field light generating element has an outer surface including first and second inclined surfaces and an edge part that connects the first and second inclined surfaces to each other. In a method of manufacturing the near-field light generating element, a polishing stopper layer is initially formed on a metal layer, and the polishing stopper layer and the metal layer are etched so that the metal layer is provided with the first inclined surface. Next, a coating layer is formed to cover the metal layer and the polishing stopper layer. The coating layer is made of a non-metallic inorganic material that has an etching rate lower than that of the metal layer in a second etching step to be performed later. Next, the coating layer is polished until the polishing stopper layer is exposed. Next, the second etching step is performed to etch the polishing stopper layer and the metal layer using the coating layer as the etching mask. This provides the metal layer with the second inclined surface and the edge part, and thereby makes the metal layer into the near-field light generating element. | 09-01-2011 |
20110215070 | SELF-ASSEMBLY STRUCTURES USED FOR FABRICATING PATTERNED MAGNETIC MEDIA - Methods of defining servo patterns and data patterns for forming patterned magnetic media are described. For one method, a lithographic process is performed to define a servo pattern in servo regions on a substrate. The lithographic process also defines a first data pattern in data regions of the substrate. The first data pattern is then transferred to (i.e., etched into) the data regions. Self-assembly structures are then formed on the data pattern in the data regions to define a second data pattern. The servo pattern is then transferred to the servo regions and the second data pattern is transferred to the data regions. Thus, the servo pattern is defined through lithographic processes while the data pattern is defined by a combination of lithographic processes and self-assembly. | 09-08-2011 |
20110220611 | Method of manufacturing perpendicular magnetic write head - A method of manufacturing a perpendicular magnetic recording head capable of easily and accurately forming a main magnetic-pole layer having a shape suitable for concentrating a magnetic flux is provided. A nonmagnetic layer having a recessed section (a first recessed section and a second recessed section) is formed, and then an additional nonmagnetic layer is formed on an inner surface of the recessed section. Then, a magnetic layer is formed in the recessed section formed with the additional nonmagnetic layer, and then the magnetic layer is cut to form an air bearing surface, so as to form the main magnetic-pole layer. | 09-15-2011 |
20110233167 | METHOD FOR MANUFACTURING A PERPENDICULAR MAGNETIC WRITE HEAD HAVING A TAPERED WRITE POLE - A method for manufacturing a magnetic write head having a write pole with a tapered leading edge and a tapered trailing edge. The method includes forming a non-magnetic bump player over a surface, forming a mask over the non-magnetic bump layer and performing a first ion milling to form a tapered back edge on the non-magnetic bump layer. A magnetic write pole material is then deposited over the surface and over the non-magnetic bump layer. Then a non-magnetic step structure is formed over the magnetic write pole material and an ion milling is performed to form a taper on the upper surface of the write pole. The write pole lateral dimensions can then be defined, and a non-magnetic bump formed over the tapered portion of the upper surface of the write pole. Another ion milling can then be performed to extend the taper of the surface of the write pole. | 09-29-2011 |
20110240593 | METHOD OF FORMING MAGNETIC POLE SECTION OF PERPENDICULAR MAGNETIC RECORDING TYPE THIN-FILM MAGNETIC HEAD AND MANUFACTURING METHOD OF PERPENDICULAR MAGNETIC RECORDING TYPE THIN-FILM MAGNETIC HEAD - A method of forming a magnetic pole section of a perpendicular magnetic recording type thin-film magnetic head and a method of manufacturing a perpendicular magnetic recording type thin-film magnetic head that include forming on an under layer a resist pattern having an opening, forming a first nonmagnetic layer, forming a first magnetic layer, forming a magnetic layer pattern, removing the resist pattern and then applying a resist layer onto a first nonmagnetic layer and a magnetic layer pattern, developing or ashing partway the applied resist layer and baking the remaining resist layer, removing the first nonmagnetic layer from at least a side surface of the magnetic layer pattern by etching with the baked resist layer being left, removing all of the resist layer and then forming a second nonmagnetic layer on at least the magnetic layer pattern, and forming a second magnetic layer on the formed second nonmagnetic layer. | 10-06-2011 |
20110240594 | POLISHING LIQUID COMPOSITION FOR MAGNETIC-DISK SUBSTRATE - There is provided a polishing composition for a magnetic disk substrate that can reduce scratches, nanoprotrusion defects, and substrate surface waviness after polishing. The polishing composition for a magnetic disk substrate that contains: a copolymer that has a constituent unit derived from a monomer having a solubility of 2 g or less in 100 g of water at 20° C. and a constituent unit having a sulfonic acid group, and has a saturated hydrocarbon chain as the main chain thereof, or a salt of the copolymer; an abrasive; and water. | 10-06-2011 |
20110247995 | DRY ETCHING METHOD AND DRY ETCHING APPARATUS - A dry etching method includes the steps of: supplying process gas to an interior of a vacuum vessel and supplying high-frequency power for plasma generation to an electrode of a plasma generation unit disposed in the vacuum vessel so as to generate plasma; and applying a high-frequency bias voltage to a substrate which is a member to be etched so as to carry out etching of the substrate, wherein the etching is carried out while applying the high-frequency bias voltage in which a self-bias voltage Vdc of a substrate bias voltage is not less than 0 Volts, by adopting a composition using a high-frequency power source for bias which is transformer-coupled to the substrate and a direct current power source for bias which is connected in series to a secondary side of a transformer so as to apply the substrate bias voltage in which a high-frequency voltage and a direct current voltage are superimposed, to the substrate from these power sources via the transformer. | 10-13-2011 |
20110259849 | METHOD FOR PRODUCING IMPRINT MOLD AND MAGNETIC RECORDING MEDIUM - According to one embodiment, a method for producing an imprint mold includes forming, on a substrate, a plurality of guides including a first wall surface and a second wall surface, wherein an angle between at least one of the first and second wall surfaces and an exposed substrate surface is 131° or less, applying a self-assembling material, which forms a sphere when phase-separated, to a guide groove area defined by the first wall surface, the second wall surface and the substrate surface, and self-assembling the self-assembling material to form a dot pattern, etching the substrate by using the dot pattern as a mask to transfer the dot pattern and forming an imprint mold by using the substrate with the dot pattern transferred as a master mold. | 10-27-2011 |
20110272380 | Methods of forming pattern structures - An example embodiment relates to a method of forming a pattern structure, including forming an object layer on a substrate, and forming a hard mask on the object layer. A plasma reactive etching process is performed on the object layer using an etching gas including a fluorine containing gas and ammonia (NH | 11-10-2011 |
20110284497 | PLASMA PROCESSING APPARATUS AND METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM - A plasma processing apparatus includes a discharge window made of a dielectric material, a discharge chamber which is grounded and includes an opening formed at its one end and the discharge window provided at its other end facing the opening, a gas supply system which supplies a gas into the discharge chamber, a high-frequency power application mechanism which applies a high-frequency power to the gas to generate a plasma inside the discharge chamber, a substrate holder which can hold a substrate while facing the discharge window on the outer side of the discharge chamber, a shielding member which partially shields the plasma that impinges on the substrate, and a supporting member which supports the shielding member. The supporting member is grounded and fixed on the shielding member at a position which is farther from the substrate than the shielding member and different from that of the discharge window. | 11-24-2011 |
20110315656 | FERROMAGNETIC NANORINGS, MEDIUMS EMBODYING SAME INCLUDING DEVICES AND METHODS RELATED THERETO - Featured is a magnetic ring structure including at least a vortex magnetic state such as symmetrically and asymmetrically shaped nanorings, having small diameters (e.g., on the order of 100 nm). In particular embodiments, the width and thickness of the asymmetrical nanorings varies as a function of the locations on the circumference so that maxima and minima thereof are located on opposite sides of the nanoring. Also featured are methods for fabricating such symmetrically and asymmetrically shaped nanorings. Also featured are methods for controlling the reversal process so as to thereby create vortex states in such asymmetric nanorings by controlling the field angle. | 12-29-2011 |
20120000885 | STAMPER, METHOD OF MANUFACTURING THE STAMPER, AND MAGNETIC RECORDING MEDIUM MANUFACTURING METHOD USING THE STAMPER - According to one embodiment, a stamper manufacturing method includes forming a conductive material concentration gradient layer including the projections pattern, and a composition ratio of a buffering agent to a conductive material in the conductive material concentration gradient layer reducing in a thickness direction from a master side. | 01-05-2012 |
20120012554 | SYSTEM AND METHOD OF FABRICATING MEDIA - A method of fabricating media comprises forming recording media on a substrate. An overcoat is deposited on the recording media opposite the substrate. The overcoat has a first surface finish. The overcoat is etched to remove material and provide the overcoat with a second surface finish that is smoother than the first surface finish. The depositing and etching may occur sequentially in an in-situ, dry vacuum process. The second surface finish may not be mechanically processed after etching to further planarize the overcoat. | 01-19-2012 |
20120012555 | Method to make an integrated side shield PMR head with non-conformal side gap - A non-conformal integrated side shield structure is disclosed for a PMR write head wherein the sidewalls of the side shield are not parallel to the pole tip sidewalls. Thus, the side gap distance between the leading pole tip edge and side shield is different than the side gap distance between the trailing pole tip edge and side shield. As a result, there is a reduced side fringing field and improved overwrite performance. The side gap distance is constant with increasing distance from the ABS along the main pole layer. A fabrication method is provided where the trailing shield and side shield are formed in the same step to afford a self-aligned shield structure. Adjacent track erasure induced by flux choking at the side shield and trailing shield interface can be eliminated by this design. The invention encompasses a tapered main pole layer in a narrow pole tip section. | 01-19-2012 |
20120067843 | Method of forming fine pattern - A method of forming a fine pattern according to an embodiment includes: forming a hard mask on a substrate; forming a mask reinforcing member on the hard mask; forming a di-block copolymer layer on the mask reinforcing member, the di-block copolymer layer comprising a sea-island structure; forming a pattern comprising a concave-convex structure in the di-block copolymer layer, with island portions of the sea-island structure being convex portions; and transferring the pattern onto the hard mask by performing etching on the mask reinforcing member and the hard mask, with a mask being the pattern formed in the di-block copolymer layer. The mask reinforcing member is comprised of a material having an etching speed that is higher than an etching speed for the hard mask and is lower than an etching speed for sea portions of the sea-island structure of the di-block copolymer layer. | 03-22-2012 |
20120067844 | METHOD OF MANUFACTURING RECORDING MEDIUM - According to one embodiment, in a method manufacturing a magnetic recording medium which is configured such that a ferromagnetic recording part is formed on a substrate in a desired track pattern or a desired bit pattern, a ferromagnetic film is formed on a substrate, and then a B thin film is formed on a region for isolating the ferromagnetic film between tracks or bits. Subsequently, ions are radiated on the B thin film, thereby increasing a B content of the region of the ferromagnetic film, on which the B thin film has been formed, and nonmagnetizing the region. | 03-22-2012 |
20120080402 | PLANARIZATION METHOD FOR MEDIA - A planarization process may planarize a media disk that has data trenches between data features and larger servo trenches between servo features. A filler material layer is deposited on the media disk and provides step coverage of the trenches. The filler material has data recesses over the data trenches and servo recesses over the servo trenches that must be removed to produce a planar media surface. A first planarization process is used to remove the data recesses and a second planarization process is used to remove the servo recesses. | 04-05-2012 |
20120103933 | PLASMA PROCESSING METHOD - In a plasma processing method of dry-etching of a magnetic film having a thickness of 200 nm to 500 nm, a plasma processing method of dry-etching of a sample having the magnetic film on which a multilayered film including a resist film, an non-organic film underlying the resist film, a Cr film underlying the non-organic film, and an Al | 05-03-2012 |
20120103934 | METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM - The present invention aims to prevent decreases in etching rate due to adhesion of an etched film to a substrate holder. | 05-03-2012 |
20120111826 | DAMASCENE PROCESS USING PVD SPUTTER CARBON FILM AS CMP STOP LAYER FOR FORMING A MAGNETIC RECORDING HEAD - Damascene processes using physical vapor deposition (PVD) sputter carbon film as a chemical mechanical planarization (CMP) stop layer for forming a magnetic recording head are provided. In one embodiment, one such process includes providing an insulator, removing a portion of the insulator to form a trench within the insulator, depositing a carbon material on first portions of the insulator using a physical vapor deposition process, disposing at least one ferromagnetic material on second portions of the insulator to form a pole including a portion of the ferromagnetic material within the trench, and performing a chemical mechanical planarization on the at least one ferromagnetic material using at least a portion of the carbon material as a stop for the chemical mechanical planarization. | 05-10-2012 |
20120111827 | METHOD USING BLOCK COPOLYMERS FOR MAKING A MASTER MOLD WITH HIGH BIT-ASPECT-RATIO FOR NANOIMPRINTING PATTERNED MAGNETIC RECORDING DISKS - The invention is a method for making a master mold to be used for nanoimprinting patterned-media magnetic recording disks. The method uses conventional optical or e-beam lithography to form a pattern of generally radial stripes on a substrate, with the stripes being grouped into annular zones or bands. A block copolymer material is deposited on the pattern, resulting in guided self-assembly of the block copolymer into its components to multiply the generally radial stripes into generally radial lines of alternating block copolymer components. The radial lines of one of the components are removed and the radial lines of the remaining component are used as an etch mask to etch the substrate. Conventional lithography is used to form concentric rings over the generally radial lines. After etching and resist removal, the master mold has pillars arranged in circular rings, with the rings grouped into annular bands. | 05-10-2012 |
20120118853 | MANUFACTURING METHOD OF MASTER DISK FOR PATTERNED MEDIUM AND MAGNETIC RECORDING DISK MANUFACTURING METHOD - According to one embodiment, a method for manufacturing a master disk for discoid patterned medium having a plurality of sectors arranged in a circumferential direction, the plurality of sectors including a recording data portion and a servo data portion that includes a sector identification region having gaps formed in a linear pattern is provided. An imprint master disk having a linear pattern which is common to the sectors before the gaps are formed in the sector identification region and including at least one pattern of the sector is prepared, imprinting is repeated in the circumferential direction by using the imprint master disk to form patterns of a discoid patterned medium on a substrate, and a sector identification pattern is formed in each sector by forming gaps in the linear pattern of each sector identification region among the patterns formed on the substrate. | 05-17-2012 |
20120125883 | METHOD FOR MANUFACTURING A MAGNETIC WRITE POLE USING A MULTI-LAYERED HARD MASK STRUCTURE - A method for manufacturing a magnetic write pole using a mask that includes a multi-layer hard mask. The multi-layer hard mask hard mask includes a first hard mask layer that is constructed of a Si containing material that can be spun on and a second hard mask material that is deposited by a deposition process such as sputter deposition. The first hard mask layer has optical properties that allow it to function well as a bottom anti-reflective coating (BARC) and also has optical properties that match well with an underlying image transfer layer. The second hard mask material has good selectivity for reactive ion etching so that it functions well as a RIE hard mask. | 05-24-2012 |
20120125884 | METHOD FOR MANUFACTURING A NARROW MAGNETIC READ WIDTH CURRENT PERPENDICULAR TO PLANE MAGNETORESISTIVE SENSOR - A method for manufacturing a magnetic read head having a very narrow track width. The method includes the use of a non-Si containing photoresist to form a mask prior to ion milling to define the track-width of the sensor. Previously only Si-containing resists were used. The Si in the resist turned to an oxide, which allowed the photoresist to withstand the reactive ion etching used for image transfer to an underlying hard mask. The Si-containing resist, however, has limitations as to how small the mask can be made. It has been found that a non-Si-containing resist provides better resolution at very narrow track-width definition, and also provides good temperature resistance. Some modifications to the process allow the non-Si-containing resist to be used in the construction of the magnetic read sensor. | 05-24-2012 |
20120125885 | PERPENDICULAR WRITE HEAD WITH WRAP AROUND SHIELD AND CONFORMAL SIDE GAP - A perpendicular write head having a wrap around shield and a conformal side gap. In fabricating the write head, the leading edge shield may be chemical mechanical polished down to a level that is substantially even with a chemical mechanical polishing stop layer. Because the leading edge shield and the chemical mechanical polishing stop layer are used as RIE stop for trench RIE, a fully conformal side shield may be formed with a LTE/LES. | 05-24-2012 |
20120125886 | PROCESS TO MAKE PMR WRITER WITH LEADING EDGE SHIELD (LES) AND LEADING EDGE TAPER (LET) - Methods for fabrication of leading edge shields and tapered magnetic poles with a tapered leading edge are provided. The leading edge shield may be formed by utilizing a CMP stop layer. The CMP stop layer may aid in preventing over polishing of the magnetic material. For the tapered magnetic poles with a tapered leading edge, a magnetic material is deposited on a planarized surface, a patterned resist material is formed, and exposed magnetic material is etched to form at least one tapered surface of the magnetic material. | 05-24-2012 |
20120138567 | NANOIMPRINT LITHOGRAPHY METHOD FOR MAKING A PATTERNED MAGNETIC RECORDING DISK USING IMPRINT RESIST WITH ENLARGED FEATURE SIZE - A method for making a patterned-media magnetic recording disk using nanoimprint lithography (NIL) enlarges the size of the imprint resist features after the imprint resist has been patterned by NIL. The layer of imprint resist material is deposited on a disk blank, which may have the magnetic layer already deposited on it. The imprint resist layer is patterned by NIL, resulting in a plurality of spaced-apart resist pillars with sloped sidewalls from the top to the base. An overlayer of a material like a fluorocarbon polymer is deposited over the patterned resist layer, including over the sloped resist pillar sidewalls. This enlarges the lateral dimension of the resist pillars. The overlayer is then etched to leave the overlayer on the sloped resist pillar sidewalls while exposing the disk blank in the spaces between the resist pillars. The resist pillars with overlayer on the sloped resist pillar sidewalls is then used as a mask for etching the disk blank, leaving a plurality of discrete islands on the disk blank. | 06-07-2012 |
20120152891 | METHOD FOR MANUFACTURING A MAGNETIC TAPE HEAD USING A TMR SENSOR - A method for manufacturing a magnetic tape head having a data sensor and a servo sensor. The data sensor and servo sensor are each separated from first and second magnetic shields by a non-magnetic gap layer, and the gap thickness for the servo sensor is larger than the gap thickness for the data sensor. The method involves depositing a first gap layer over shield structures, then depositing a second gap layer using a liftoff process to remove the second gap layer over the data sensor region. A plurality of sensor layers are then deposited, and a stripe height defining mask structure is formed over the data and servo sensor regions, the mask having a back edge that is configured to define a stripe height of the data and servo sensors. An ion milling is then performed to define the stripe height and to remove gap material from the field. | 06-21-2012 |
20120175342 | TECHNIQUE FOR MANUFACTURING BIT PATTERNED MEDIA - A novel, technique: for manufacturing bit patterned media is disclosed. In one particular exemplary embodiment, the technique may be realized as a method for manufacturing hit pattern media. The technique, which may be realized as a method comprising: forming a non-catalysis region on a first portion of a catalysis layer; forming a non-magnetic separator on the non-catalysis region; and forming a magnetic active region on it second portion of the catalysis layer adjacent to the first portion of the catalysis layer. | 07-12-2012 |
20120187079 | METHOD FOR MANUFACTURING A MAGNETIC SENSOR HAVING A FLAT UPPER SHIELD - A method for manufacturing a magnetic sensor that has a flat upper shield. A sensor stack is formed with a sensor capping layer at its top and a first CMP stop layer over the sensor capping layer and a mask formed over the CMP stop layer. A hard bias layer and second CMP stop layer are deposited over the sensor stack, capping layer, first CMP stop layer and mask. A chemical mechanical polishing process is then performed to remove the mask, leaving a portion of the hard bias layer exposed between the first and second CMP stop layers. An ion milling is then performed to etch back the exposed portions of the hard magnetic bias layer. A reactive ion etching is then performed to remove the remaining first and second CMP top layers. An upper shield can then be formed on a substantially flat surface. | 07-26-2012 |
20120187080 | Processing method of row bar for manufacturing slider and bar mask for row bar processing - A method of row bar for manufacturing slider includes steps: providing a plurality of row bars arranged in rows, with each row bar having slider regions and bar grooves adjacent to the slider region; providing photoresist on the row bar; providing a bar mask, having slider cover and groove cover which is transparent, the slider cover having two extending portions and a ABS portion arranged therebetween with some transparent patterns formed thereon; arranging the ABS portion on the slider region of the third said row bar, with two extending portion being covered respectively on the slider region of the second row bar and the fourth row bar, then exposing the bar mask to the light, the bar groove being exposed to the light via the groove cover; carrying out the above step until the ABS portions are arranged on the last row bar; developing and etching the row bars. | 07-26-2012 |
20120223048 | System for Fabricating a Pattern on Magnetic Recording Media - An inline processing system for patterning magnetic recording layers on hard discs for use in a hard disc drive. Discs are processed on both sides simultaneously in a vertical orientation, in round plate-like holders called MDCs. A plurality (as many as 10) discs are held in a dial carrier of the MDC, and transferred from one process station to another. The dial carrier of the MDC may be rotated and/or angled at up to 70° from normal in each process station, so that one or a plurality of process sources may treat the discs simultaneously. This configuration provides time savings and a reduction in the number and size of process sources needed. A mask enhancement process for patterning of magnetic media, and a filling and planarizing process used therewith, are also disclosed. | 09-06-2012 |
20120273456 | MAGNETIC RECORDING MEDIUM AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a magnetic recording medium includes recording areas forming protrusions corresponding to servo signals and recording tracks and includes a crystalline magnetic layer, and non-recording areas comprising an amorphous damaged layer left in bottoms of recesses between the recording areas. | 11-01-2012 |
20120292287 | METHOD OF FORMING MAIN POLE OF THERMALLY-ASSISTED MAGNETIC RECORDING HEAD - In a method of forming a main pole, an initial accommodation layer is etched by RIE using a first etching mask having a first opening, whereby a groove is formed in the initial accommodation layer. Next, a part of the initial accommodation layer including the groove is etched by RIE using a second etching mask having a second opening, so that the groove becomes an accommodation part. The main pole is then formed in the accommodation part. The first etching mask has first and second sidewalls that face the first opening and are opposed to each other at a first distance in a track width direction. The second etching mask has third and fourth sidewalls that face the second opening and are opposed to each other at a second distance greater than the first distance. | 11-22-2012 |
20120298621 | METHOD AND SYSTEM FOR FABRICATING A NARROW LINE STRUCTURE IN A MAGNETIC RECORDING HEAD - A method for fabricating a structure in magnetic recording head is described. First and second hard mask layers are provided on the layer(s) for the structure. A BARC layer and photoresist mask having a pattern are provided on the second hard mask layer. The pattern includes a line corresponding to the structure. The pattern is transferred to the BARC layer and the second hard mask layer in a single etch using an etch chemistry. At least the second hard mask layer is trimmed using substantially the same first etch chemistry. A mask including a hard mask line corresponding to the line and less than thirty nanometers wide is thus formed. The pattern of the second hard mask is transferred to the first hard mask layer. The pattern of the first hard mask layer is transferred to the layer(s) such that the structure has substantially the width. | 11-29-2012 |
20120325771 | SYSTEM AND METHOD OF FABRICATING MEDIA - A method of fabricating media comprises forming recording media on a substrate. An overcoat is deposited on the recording media opposite the substrate. The overcoat has a first surface finish. The overcoat is etched to remove material and provide the overcoat with a second surface finish that is smoother than the first surface finish. The depositing and etching may occur sequentially in an in-situ, dry vacuum process. The second surface finish may not be mechanically processed after etching to further planarize the overcoat. | 12-27-2012 |
20130001188 | METHOD TO PROTECT MAGNETIC BITS DURING PLANARIZATION - The embodiments disclose a method to protect magnetic bits during carbon field planarization, including depositing a stop layer upon magnetic bits and magnetic film of a patterned stack, depositing a carbon fill layer on the stop layer and using the stop layer during planarization and etch-back of the carbon field to protect the patterned stack magnetic bits during the carbon field planarization. | 01-03-2013 |
20130001189 | TMR Device with Novel Free Layer Structure - A composite free layer having a FL | 01-03-2013 |
20130001190 | Method of Forming a PMR Writer Device with Multi-Level Tapered Write Pole - A perpendicular magnetic recording (PMR) head is fabricated with a multi-level tapered write pole which creates an efficient channeling of magnetic flux to the pole tip. The write pole comprises a main pole with a tapered tip on which is formed at least one yoke that has a tapered edge. The edge of the yoke is recessed from the ABS of the tapered tip, giving the write pole a stepped profile. The tapered tip can be two sloped surfaces that are symmetric about a mid plane of the main pole or a single sloped edge on the leading side or the trailing side of the pole. The yoke structure can consist of a single yoke formed on one side of the main pole or it can consist of two yokes formed symmetrically on both the leading and trailing sides of the main pole. | 01-03-2013 |
20130008867 | METHODS FOR MANUFACTURING MAGNETIC TUNNEL JUNCTION STRUCTURE - Methods for manufacturing a magnetic tunnel junction structure include forming a magnetic tunnel junction (MTJ) layer by sequentially stacking a first ferromagnetic layer, a tunnel insulation layer, and a second ferromagnetic layer on a substrate, forming a mask pattern on the MTJ layer, and etching at least a portion of the MTJ layer in an etching chamber using the mask pattern as an etch mask, wherein the etching of the at least a portion of the MTJ layer includes applying a RF source power to a first electrode of the etching chamber as first RF power in a first pulselike mode, and applying a RF bias power to a second electrode of the etching chamber as second RF power in a second pulselike mode. The second pulselike mode of the RF bias power has a different phase from the first pulselike mode of the RF source power. | 01-10-2013 |
20130026131 | Method For Manufacturing Wraparound Shield Write Head Using Hard Masks - The present disclosure describes a method for manufacturing a full wraparound shield damascene write head through the implementation of a three layered (tri-layered) hard mask. According to an embodiment of the invention, the various layers of hard mask are used for different purposes during the formation of a write head. The wraparound shield head of the present invention exhibits improved physical characteristics that further result in improved performance characteristics. Use of the hard mask layers according to the present invention allows for use of manufacturing processes that can be more closely controlled than those processes used in other processes. For example, smaller dimension lithographic techniques can be used. Also, reliance on certain CMP processes is not necessary where the use of CMP processes is not as well-controlled as deposition or lithographic techniques as is possible using the present invention. | 01-31-2013 |
20130026132 | PLANARIZING FILM-FORMING COMPOSITION FOR HARD DISK AND HARD DISK PRODUCTION METHOD USING SAME - A planarizing film-forming composition for a hard disk that is a non-magnetic filler is sufficiently filled into fine grooves on a magnetic material surface (surface), and is required not to cause contraction in the filled parts at the time of photo-curing (at the time of exposure) and post-exposure baking; and a method for producing a hard disk using the composition. The composition comprising at least one polyfunctional (meth)acrylate compound being in a liquid state at room temperature and atmospheric pressure and having a molecular weight of 300 to 10,000. The compound preferably has 2 to 20 (meth)acrylate groups in the molecule, or the compound preferably has a molecular weight of 300 to 2,300. A method for producing a hard disk comprising: forming a concave-convex shape on the surface; covering the surface having the concave-convex shape with the composition; and etching the covered surface for planarization until the surface is exposed. | 01-31-2013 |
20130056441 | MANUFACTURING METHOD OF MAGNETIC RECORDING MEDIUM - A manufacturing method of a magnetic recording medium according to one embodiment includes forming a mask layer having a pattern regularly arranged in a longitudinal direction on a magnetic recording medium containing a substrate and a magnetic recording layer, forming a recording portion having a magnetic pattern and a non-recording portion by patterning the magnetic recording layer, and submitting the mask layer to a peeling liquid to peel the mask layer. The mask layer contains a lamination layer of a lift-off layer, a first hard mask, and a second hard mask. The second hard mask is formed of a material that is different from the material of the first hard disk and the material is dissolvable in the same peeling liquid as the peeling liquid that dissolves the lift-off layer. | 03-07-2013 |
20130062307 | METHOD OF MAKING A MASK, METHOD OF PATTERNING BY USING THIS MASK AND METHOD OF MANUFACTURING A MICRO-DEVICE - The present invention relates to a method of making a mask for patterning a thin film The method includes a step of forming an inorganic material, which is resolvable into alkali solution, on a substrate; a step of forming the inorganic material in a predetermined pattern; and a step of narrowing the inorganic material with the alkali solution to form the mask. | 03-14-2013 |
20130062308 | METHOD OF MANUFACTURING MAGNETIC RECORDING HEAD - According to one embodiment, a method of manufacturing a magnetic recording head includes forming a main pole, forming an oscillator forming layer includes an underlayer, a spin injection layer, an interlayer, an oscillator layer, and a cap layer on a trailing end surface and sidewalls of the main pole, and removing those parts of the oscillator forming layer which are formed on the sidewalls of the main pole, thereby forming a high-frequency oscillator which is aligned with a width of at least the trailing end surface of the main pole. | 03-14-2013 |
20130075358 | MAGNETIC RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME - A magnetic recording medium a magnetic recording medium includes a soft magnetic layer formed on a substrate, magnetic patterns made of a protruded ferromagnetic layer separated from each other on the soft magnetic layer, and a nonmagnetic layer formed between the magnetic patterns, a nitrogen concentration therein being higher on a surface side than on a substrate side. | 03-28-2013 |
20130075359 | Perpendicular Magnetic Recording Head and Method of Manufacturing the Same - Provided are a perpendicular magnetic recording head and a method of manufacturing the same. The perpendicular magnetic recording head includes a main pole including a pole tip applying a recording magnetic field to a recording medium, a coil surrounding the main pole in a solenoid shape such that recording magnetic field for recording information to a recording medium is generated at the pole tip, and a return yoke forming a magnetic path for the recording magnetic field together with the main pole and surrounding a portion of the coil passing above the main pole. The number of times that the coil passes above the main pole is smaller than the number of times that the coil passes below the main pole. | 03-28-2013 |
20130082027 | METHOD FOR MANUFACTURING A PERPENDICULAR MAGNETIC WRITE HEAD USING NOVEL REACTIVE ION ETCHING CHEMISTRY - A method for manufacturing a magnetic write head for magnetic data recording. The method includes forming a depositing a magnetic write pole material and forming a mask structure over the write pole material that includes a polymer mask under-layer, a dielectric hard mask formed over the polymer mask under-layer and a photoresist mask formed over the dielectric hard mask. The image of the photoresist mask is transferred onto the underlying dielectric hard mask and then a reactive ion etching is performed to transfer the image of the dielectric hard mask onto the polymer mask under-layer. This reactive ion etching is performed in an atmosphere chemistry that includes both an oxygen containing gas and a nitrogen containing gas. | 04-04-2013 |
20130105437 | METHOD USING BLOCK COPOLYMERS FOR MAKING A MASTER DISK WITH RADIAL NONDATA MARKS FOR NANOIMPRINTING PATTERNED MAGNETIC RECORDING DISKS | 05-02-2013 |
20130146562 | DATA STORAGE MEDIUM SURFACE SMOOTHING METHOD AND ASSOCIATED APPARATUS - A method for smoothing a medium includes depositing a magnetic layer onto a base, depositing an overcoat layer onto an outer surface of the magnetic layer, and burnishing an outer surface of the overcoat layer. Further, the method includes at least one of (i) directing a first ion beam comprised of first energetic ions toward the outer surface of the magnetic layer at a first shallow grazing angle and smoothing the outer surface of the magnetic layer via etching engagement between the first ion beam and the outer surface of the magnetic layer; and (ii) directing a second ion beam comprised of second energetic ions toward the outer surface of the overcoat layer at a second shallow grazing angle and smoothing the outer surface of the overcoat layer via etching engagement between the second angled ion beam and the outer surface of the overcoat layer. | 06-13-2013 |
20130146563 | PLASMA PROCESSING METHOD - A method for plasma-etching a magnetic film and plasma-cleaning, in which deposits in an etching processing chamber are efficiently removed while corrosion of a wafer is suppressed, is provided. A plasma processing method for plasma-etching a to-be-processed substrate having a magnetic film in an etching processing chamber includes the steps of plasma-etching the magnetic film using a first gas not containing chlorine, transferring out the to-be-processed substrate from the etching processing chamber, first plasma-cleaning of the etching processing chamber using a second gas containing chlorine, and second plasma-cleaning using a third gas containing hydrogen after the first plasma cleaning. | 06-13-2013 |
20130180948 | MAGNETIC RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a release layer is formed on a magnetic recording layer, a mask layer is formed on the release layer, projecting patterns are formed on the mask layer, the projecting patterns are transferred onto the mask layer, the projecting patterns are transferred onto the release layer, the projecting patterns are transferred onto the magnetic recording layer, the release layer is removed by a solvent, and a remaining mask layer is removed from the surface of the magnetic recording layer. The release layer is made of a polymeric material. The mask layer is made of at least one of a metal or a metal compound. The projecting patterns are formed by using a self-organized layer made of a block copolymer having at least two of polymer chains. | 07-18-2013 |
20130200041 | Method for Manufacturing Glass Stamper and Method for Manufacturing Magnetic Recording Medium - A method for manufacturing a glass stamper includes the following steps. First, a diamond film is formed on a substrate. A resist is applied onto the diamond film and a pattern is formed by performing electron beam lithography and development. The diamond film is etched with any one of oxygen and Ar gas using the pattern on the resist as a mask, thereby transferring the pattern to the diamond film. The resist and the substrate are removed to fabricate a diamond mold. Then, a glass stamper is manufactured by glass molding using the diamond mold. | 08-08-2013 |
20130200042 | PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS - In processing a magnetic film composed for example of Fe, Co or Ni formed on a substrate and a nonvolatile metal containing the same in a vacuum reactor using a plasma generating gas for generating plasma and a gas containing C and O, a power applied to an antenna for generating plasma is time-modulated, wherein the feeding of gas containing C and O to the vacuum reactor is synchronized with the time-modulated antenna power so that the supply of gas containing C and O to the vacuum reactor is suppressed when the antenna power is high and the gas containing C and O is fed to the vacuum reactor when the antenna power is low. | 08-08-2013 |
20130206722 | BIT-PATTERNED MEDIA WITH ANTIFERROMAGNETIC SHELL - A method of producing bit-patterned media is provided whereby a shell structure is added on a bit-patterned media dot. The shell may be an antiferromagnetic material that will help stabilize the magnetization configuration at the remanent state due to exchange coupling between the dot and its shell. Therefore, this approach also improves the thermal stability of the media dot and helps each individual media dot maintain a single domain state. | 08-15-2013 |
20130248485 | METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM - A manufacturing method of a magnetic recording medium includes steps of forming a magnetic recording layer, a first mask layer, a second mask layer containing silicon as primary component, a strip layer, a third mask layer, and a resist layer, a step of patterning the resist layer to provide a pattern, steps of transferring the pattern to the third mask layer, to the strip layer, and to the second mask layer, a step of removing the strip layer by wet etching and of stripping the third mask layer and the resist layer above the magnetic recording layer, steps of transferring the pattern to the first mask layer and to the magnetic recording layer, and a step of stripping the first mask layer remaining on the magnetic recording layer. | 09-26-2013 |
20130264306 | METHOD FOR PLANARIZING A PERPENDICULAR MAGNETIC RECORDING DISK FOR THERMALLY-ASSISTED RECORDING (TAR) - A vacuum planarization method substantially improves the surface roughness of a thermally-assisted recording (TAR) disk that has a recording layer (RL) formed of a substantially chemically-ordered FePt alloy or FePt-X alloy (or CoPt alloy or CoPt-X alloy) and a segregant, like SiO | 10-10-2013 |
20130270221 | METHOD FOR IMPROVING A PATTERNED PERPENDICULAR MAGNETIC RECORDING DISK WITH ANNEALING - A method for making a bit-patterned-media magnetic recording disk with discrete magnetic islands includes annealing the data islands after they have been formed by an etching process. A hard mask, such as a layer of silicon nitride or carbon, may be first formed on the recording layer and a patterned resist formed on the hard mask. The resist pattern is then transferred into the hard mask, which is used as the etch mask to etch the recording layer and form the discrete data islands. After the data islands are formed by the etching process, the patterned recording layer is annealed. The annealing may be done in a vacuum, or in an inert gas, like helium or argon, or in a forming gas such as a reducing atmosphere of argon plus hydrogen. The annealing improves the coercivity, the effective saturation magnetization and the thermal stability of the patterned media. | 10-17-2013 |
20130270222 | FILM FORMING COMPOSITION FOR HARD DISK - There is provided a planarizing film-forming composition for a hard disk. A planarizing film-forming composition for a hard disk comprising a hydrophobic coating material having a photopolymerizable group and an aromatic group, containing a polymer or a combination of a polymer and a compound selected from the group consisting of a polymer (A1), a polymer (A2), a polymer (A3), a compound (a1), a compound (a2), and a compound (a3). | 10-17-2013 |
20130284693 | DIFFERENTIATED LIFTOFF PROCESS FOR ULTRA-SHALLOW MASK DEFINED NARROW TRACKWIDTH MAGNETIC SENSOR - A method for manufacturing a magnetic read sensor allows for the construction of a very narrow trackwidth sensor while avoiding problems related to mask liftoff and shadowing related process variations across a wafer. The process involves depositing a plurality of sensor layers and forming a first mask structure. The first mask structure has a relatively large opening that encompasses a sensor area and an area adjacent to the sensor area where a hard bias structure can be deposited. A second mask structure is formed over the first mask structure and includes a first portion that is configured to define a sensor dimension and a second portion that is over the first mask structure in the field area. | 10-31-2013 |
20140014616 | METHOD FOR MAKING A PERPENDICULAR THERMALLY-ASSISTED RECORDING (TAR) MAGNETIC RECORDING DISK HAVING A CARBON SEGREGANT - A method of making a thermally-assisted recording (TAR) disk includes etching an initial layer of generally spherically shaped FePt grains encapsulated by shells of graphitic carbon layers. The etching partially or completely removes the carbon layers on the tops of the shells, exposing the FePt grains while leaving carbon segregant material between the FePt grains. Additional Fe, Pt and C are then simultaneously deposited. The additional Fe and Pt grow on the exposed FePt grains and increase the vertical height of the grains, resulting in growth of columnar FePt grains. The additional C forms on top of the grains that together with the intergranular carbon form larger carbon shells. The resulting FePt grains thus have a generally columnar shape with perpendicular magnetic anisotropy, rather than a generally spherical shape. Lateral grain isolation is maintained by the carbon segregant remaining between the grains. | 01-16-2014 |
20140014617 | Method of Forming a Non-Uniform Write Gap Perpendicular Writer for Shingle Writing - A method of forming a PMR writer is disclosed wherein at least one of a recessed center section in the write pole trailing edge and a center recessed trailing shield is used to improve the field gradient at track edge. In all embodiments, there is a non-uniform write gap formed between the trailing edge and the trailing shield. The recessed portion of the write pole trailing edge and/or center recess of the trailing shield has a thickness from 10 to 40 nm in a down-track direction and a width in a cross-track direction of 20 to 200 nm. The distance between the center recess and a corner of the trailing edge is from 20 to 80 nm. A sequence of steps is provided to fabricate the two embodiments of the present invention. | 01-16-2014 |
20140091055 | Method of Making a PMR Writer with Graded Side Shield - A perpendicular magnetic recording (PMR) head is fabricated with a pole tip shielded laterally by a graded side shield that is conformal to the shape of the pole tip at an upper portion of the shield but not conformal to the pole tip at a lower portion. The shield includes a trailing shield, that is conformal to the trailing edge of the pole tip and may include a leading edge shield that magnetically connects two bottom ends of the graded side shield. | 04-03-2014 |
20140116984 | Two Step Method to Fabricate Small Dimension Devices for Magnetic Recording Applications - A two part ion beam etch sequence involving low energy (<300 eV) is disclosed for fabricating a free layer width (FLW) as small as 20-25 nm in a MTJ element. A first etch process has one or more low incident angles and accounts for removal of 70% to 100% of the MTJ stack that is not covered by an overlying photoresist layer. The second etch process employs one or more high incident angles and a sweeping motion that is repeated during a plurality of cycles. Sidewall slope may be adjusted by varying the incident angle during either of the etch processes. FLW is about 30 nm less than an initial critical dimension in the photoresist layer while maintaining a MR ratio over 60% and low RA (resistance×area) value of 1.0 ohm-μm | 05-01-2014 |
20140116985 | METHOD OF MANUFACTURING MAGNETORESISTIVE ELEMENT - The present invention provides a method for manufacturing a magnetoresistive element having a high selection ratio of an insulating layer to a free layer. The method for manufacturing a magnetoresistive element includes the steps of preparing (left drawing, middle drawing) a substrate on which a free layer, a fixed layer disposed under a first magnetic layer, and a barrier layer that is an insulating layer disposed between the free layer and the fixed layer are formed and processing (right drawing) the free layer by plasma etching, in which an insulating layer configuring the barrier layer contains a Ta element or a Ti element. | 05-01-2014 |
20140131308 | PATTERN FORTIFICATION FOR HDD BIT PATTERNED MEDIA PATTERN TRANSFER - A method and apparatus for forming a magnetic layer having a pattern of magnetic properties on a substrate is described. The method includes using a metal nitride hardmask layer to pattern the magnetic layer by plasma exposure. The metal nitride layer is patterned using a nanoimprint patterning process with a silicon oxide pattern negative material. The pattern is developed in the metal nitride using a halogen and oxygen containing remote plasma, and is removed after plasma exposure using a caustic wet strip process. All processing is done at low temperatures to avoid thermal damage to magnetic materials. | 05-15-2014 |
20140131309 | WRITE ELEMENT, THERMALLY ASSISTED MAGNETIC HEAD SLIDER, HEAD GIMBAL ASSEMBLY, HARD DISK DRIVE WITH THE SAME, AND MANUFACTURING METHOD THEREOF - A write element for a thermally assisted magnetic head slider includes an air bearing surface facing to a magnetic recording medium; a first magnetic pole, a second magnetic pole, and coils sandwiched between the first and the second magnetic poles; a waveguide for guiding light generated by a light source module mounted on a substrate; and a plasmon unit provided around the first magnetic pole and the waveguide, which has a near-field light generating surface for propagating near-field light to the air bearing surface. The near-field light generating surface of the plasmon unit is apart from the air bearing surface with a first predetermined distance to form a first recess, and the first recess is filled in with a protective layer. The thermally assisted magnetic head slider can prevent the plasmon unit from protruding over the air bearing surface, thereby improving the performance of thermally assisted magnetic head. | 05-15-2014 |
20140138347 | METHOD FOR MANUFACTURING MAGNETORESISTANCE EFFECT ELEMENT - In a method for manufacturing the functional element, a protective film covering an underlayer, a patterned multilayer film, and a patterned cap layer are formed, and the underlayer is then processed without newly forming a resist. Thereby, an electrode can be formed in steps less than ever before. Since the protective film formed on the patterned multilayer film and the patterned cap layer is used as a mask, the problem of the misregistration can be prevented. | 05-22-2014 |
20140144872 | METHOD FOR MANUFACTURING A MAGNETIC WRITE HEAD USING NOVEL MASK STRUCTURE - A method for manufacturing a magnetic write pole of a magnetic write head that achieves improved write pole definition reduced manufacturing cost and improves ease of photoresist mask re-work. The method includes the use of a novel bi-layer hard mask beneath a photoresist mask. The bi-layer mask includes a layer of silicon dielectric, and a layer of carbon over the layer of silicon dielectric. The carbon layer acts as an anti-reflective coating layer that is unaffected by the photolithographic patterning process used to pattern the write pole and also acts as an adhesion layer for resist patterning. In the event that the photoresist patterning is not within specs and a mask re-work must be performed, the bi-layer mask can remain intact and need not be removed and re-deposited. In addition, the low cost and ease of use silicon dielectric and carbon reduce manufacturing cost and increase throughput. | 05-29-2014 |
20140144873 | PLASMA ETCHING METHOD - A plasma etching method performs plasma etching on a sample, which has laminated films containing a variable layer of a magnetic film, a barrier layer of an insulating material, and a fixed layer of a magnetic film, using a hard mask, which includes at least one of a Ta film and a TiN film. The plasma etching method includes a first step of etching the laminated films using N | 05-29-2014 |
20140144874 | SYSTEM AND METHOD FOR SELECTIVELY REMOVING ATOMS AND USES THEREOF - Embodiments of the present disclosure provide a method for selective removal of atoms from a substrate. Such a method comprises forming a patterned mask over at least a portion of the surface of the substrate to form a masked portion and an unmasked portion of the surface. In an embodiment, the method comprises exposing the surface to low energy light ions. In a related embodiment the low energy light ions selectively remove atoms from the unmasked portion of the substrate. In some embodiments, the method further comprises removing the mask. | 05-29-2014 |
20140175050 | METHOD FOR PROVIDING A MAGNETIC RECORDING TRANSDUCER INCLUDING A WRAPAROUND SHIELD AND A RECTANGULAR POLE - A method fabricates a magnetic transducer having an ABS location. Etch stop and nonmagnetic etchable layers are provided. A side shield layer is provided between the ABS location and the etch stop and etchable layers. Part of the side shield and etchable layers are removed using a first removal process. This portion of the pole trench formed has a top wider than the bottom in the side shield layer. Part of the etchable layer is removed using a second removal process, thereby forming the pole trench. The pole trench has a bottom and a top wider than the bottom in the side shield layer and substantially perpendicular sidewalls in the etchable layer. A nonmagnetic side gap layer is provided. A remaining portion of the pole trench has a location and profile for a pole. At least part of the pole is in the pole trench. | 06-26-2014 |
20140175051 | METHOD OF MAGNETIC MEIDA MANUFACTURING - The embodiments disclose a method of creating a mask by depositing a protection layer that mechanically strengthens patterned features that are imprinted into a resist layer that is deposited onto a magnetic layer, implanting mechanically strengthened patterned resist layer features into the magnetic layer using ion implantation and removing the resist layer and the mask to expose at least a portion of the magnetic layer. | 06-26-2014 |
20140217060 | METHOD FOR DEFECT REDUCTION IN MAGNETIC WRITE HEAD FABRICATION - Write heads may be formed by reactive ion etching (RIE) a dielectric mask and then reactive ion etching a polymeric underlayer. The first RIE affects the second RIE. The first portion of the first RIE process is performed with a ratio of CF | 08-07-2014 |
20140217061 | Plasma Etching Method - The present invention provides, in a plasma etching method for plasma-etching a magnetic film, a plasma etching method that allows a desired etching depth to be obtained regardless of the opening size of a mask. The present invention is, in a plasma etching method for plasma-etching a magnetic film by using a tantalum film as a mask, characterized by including: a first process to plasma-etch the magnetic film to a desired depth by using a mixed gas of an ammonia gas and a helium gas; and a second process, after the first process, to plasma-etch the magnetic film etched to the prescribed depth by using a mixed gas of an ammonia gas and a gas containing the oxygen element or a mixed gas of an ammonia gas and a gas containing a hydroxyl group. | 08-07-2014 |
20140231383 | METHOD FOR MAKING A PERPENDICULAR MAGNETIC RECORDING DISK WITH TEMPLATE LAYER FORMED OF NANOPARTICLES EMBEDDED IN A POLYMER MATERIAL - A method for making a perpendicular magnetic recording disk includes forming a template layer below a Ru or Ru alloy underlayer, with a granular Co alloy recording layer formed on the underlayer. The template layer is formed by depositing a solution of a polymer with a functional end group and nanoparticles, allowing the solution to dry, annealing the polymer layer to thereby form a polymer layer with embedded spaced-apart nanoparticles, and then etching the polymer layer to a depth sufficient to partially expose the nanoparticles so they protrude above the surface of the polymer layer. The protruding nanoparticles serve as controlled nucleation sites for the Ru or Ru alloy atoms. The nanoparticle-to-nanoparticle distances can be controlled during the formation of the template layer. This enables control of the Co alloy grain diameter distribution as well as grain-to-grain distance distribution. | 08-21-2014 |
20140231384 | HDD PATTERNING USING FLOWABLE CVD FILM - Method and apparatus for forming a patterned magnetic substrate are provided. A patterned resist is formed on a magnetically active surface of a substrate. An oxide layer is formed over the patterned resist by a flowable CVD process. The oxide layer is etched to expose portions of the patterned resist. The patterned resist is then etched, using the etched oxide layer as a mask, to expose portions of the magnetically active surface. A magnetic property of the exposed portions of the magnetically active surface is then modified by directing energy through the etched resist layer and the etched oxide layer, which are subsequently removed from the substrate. | 08-21-2014 |
20140231385 | METHOD FOR MANUFACTURING A MAGNETIC MEDIA HAVING ULTRA THIN BONDED LUBRICATION LAYER - A method for manufacturing a magnetic media having an extremely thin lubricant layer on a magnetic media. The thin lubricant layer decreases magnetic spacing to maximize magnetic performance of the magnetic data recording system. The lubricant layer is formed by first depositing a lubricant that includes two different lubricant materials, one bonded and the other non-bonded. After lubricant deposition a burnishing process can be performed, with the lubricant being thick enough for effective burnishing. Then, the disk is exposed to a solvent vapor, which removes most of the lubricant leaving only a very thin layer of the bonded lubricant material. | 08-21-2014 |
20140326699 | PMR OVERWRITE ENHANCEMENT BY MAIN POLE RIE METHOD - The embodiments of the present invention generally relate to a method for forming a trench in which a write pole is deposited therein. The trench is formed with a single mask and multiple reactive ion etching (RIE) processes and has substantially straight side walls and a consistent bevel angle along the length of the write pole. The consistent bevel angle along the length of the write pole allows the bevel angle at the ABS to be consistent regardless of where the cut is when defining the ABS. | 11-06-2014 |
20140332496 | MEDIA ETCH PROCESS - A method for etching a media is disclosed. A first magnetic layer comprising grains is deposited with a segregant such that a portion of the first segregant covers a top surface of the grains of the first magnetic layer and a second portion of the first segregant separates the grains of the first magnetic layer. The first segregant is etched to remove the portion of the first segregant that covers the top surface of the grains. | 11-13-2014 |
20140346138 | POLISHING COMPOSITION FOR MAGNETIC DISK SUBSTRATE - A polishing composition for a magnetic disk substrate of the present invention includes water, silica particles, and at least one or more selected from an acid, a salt of the acid, and an oxidizing agent. The silica particles are observed with a transmission electron microscope to measure a maximum diameter and a projected area of each particle, and a value obtained by dividing the area of a circle whose diameter is the maximum diameter of a silica particle by the projected area of the silica particle and multiplying the result by 100, is in the range of 100 to 130. | 11-27-2014 |
20140353276 | SUPER SHALLOW LAMINATED HARD MASK STENCIL FOR MAGNETIC READ SENSOR FABRICATION - The present invention generally relates to methods for forming a sensor structure utilizing a shallow and narrow hard mask stencil. In one embodiment, a sensor structure is formed by utilizing a four-layered hard mask stencil. The four-layered hard mask stencil includes a first mask layer, a second mask layer disposed over the first hard mask, a third mask layer disposed over the second mask layer, and a forth mask layer disposed over the third mask layer. In another embodiment, a sensor structure is formed by utilizing a three-layered hard mask stencil. The three-layered hard mask stencil includes a first mask layer, a second mask layer disposed over the first mask layer, and a third mask layer disposed over the second mask layer. The sensor structure is formed with a two-step chemical mechanical planarization (CMP) process. | 12-04-2014 |
20140360973 | SUPERPARAMAGNETIC COLLOIDS WITH ENHANCED CHARGE STABILITY FOR HIGH QUALITY MAGNETICALLY TUNABLE PHOTONIC STRUCTURES - A method of stabilizing electromagnetically charged particles, which includes coating electromagnetically charged particles with a protective layer; and etching the protective layer to produce a porous protective layer on the electromagnetically charged. | 12-11-2014 |
20140374376 | MATERIALS FOR NEAR FIELD TRANSDUCERS AND NEAR FIELD TRANSDUCERS CONTAINING SAME - A method of forming a near field transducer (NFT) layer, the method including depositing a film of a primary element, the film having a film thickness and a film expanse; and implanting at least one secondary element into the primary element, wherein the NFT layer includes the film of the primary element doped with the at least one secondary element. | 12-25-2014 |
20150041429 | INTEGRATED TOOL FOR FABRICATING AN ELECTRONIC COMPONENT - A tool for use in fabricating an electronic component includes a plurality of processing modules and a transfer chamber in communication with each of the plurality of processing modules. The transfer chamber includes a component for transferring a structure to each of the plurality of processing modules. The plurality of processing modules and the transfer chamber are sealed from the surrounding environment and are under a vacuum. The plurality of processing modules includes a first module configured to perform a first process on the structure and a second module configured to perform a second process on the structure. The first process includes performing at least one shaping operation on the structure. | 02-12-2015 |
20150069013 | PATTERN FORMATION METHOD AND MAGNETIC RECORDING MEDIUM MANUFACTURING METHOD - According to one embodiment, a magnetic recording layer is coated with a fine particle coating solution containing fine particles coated with a protective layer containing a first additive including a straight-chain structure for increasing wettability to the magnetic recording layer, and a carboxy group or the like, and a second additive including a carboxy group or the like and a polymerizable functional group, each fine particle having, on at least a surface thereof, a material selected from Al, Si, Ti, V, Cr, Mn, Fe, Co, Ni, Zn, Y, Zr, Sn, Mo, Ta, W, and oxides thereof, thereby forming a fine particle monolayer, and heat or light energy is applied, thereby curing the protective layer and forming a periodic pattern. | 03-12-2015 |
20150069014 | PATTERN FORMATION METHOD, MAGNETIC RECORDING MEDIUM MANUFACTURING METHOD, AND FINE PARTICLE DISPERSION - According to one embodiment, there is provided a pattern formation method including coating a substrate or mask layer with a fine particle coating solution containing fine particles including a protective group having a close surface polarity and containing, on at least surfaces thereof, a material selected from the group consisting of Al, Ti, V, Cr, Mn, Fe, Co, Ni, Zn, Y, Zr, Sn, Mo, Ta, W, Au, Ag, Pd, Cu, Pt and oxides thereof, a viscosity modifier, and a solvent for adjusting mixing of the viscosity modifier and the fine particles having the protective group to form a fine particle layer on the substrate or mask layer. | 03-12-2015 |
20150102008 | MAGNETIC RECORDING MEDIUM AND METHOD OF FABRICATING THE SAME - In one embodiment, there are provided: a substrate; a data area disposed on the substrate and having a plurality of first magnetic dots arrayed in lines in mutually different first, second, and third directions; and a boundary magnetic part having a plurality of first magnetic portions arrayed in a line in the third direction and each having a length longer than that of the first magnetic dot in the third direction, and a second magnetic dot disposed between the first magnetic portions and disposed on extensions in the first and second directions of the first magnetic dots, and disposed along with the data area on the substrate. | 04-16-2015 |
20150122772 | METHOD AND APPARATUS FOR MAGNETIC RECORDING HEAD HAVING A WAVEGUIDE - A method of forming a wave guide for a heat assisted magnetic recording slider of a disk drive includes depositing a layer of waveguide material onto a substrate, and depositing a layer of a hard mask material onto the waveguide material. The method then includes depositing a layer of photoresist onto the hard mask material, and exposing the photoresist to produce a hard mask pattern that includes a waveguide pattern. The method also includes stripping the photoresist material leaving the hard mask pattern having a first line edge roughness. The method also includes removing the waveguide material not covered by the hard mask, the waveguide having sidewalls which having a line edge roughness which is substantially equal to the first line edge roughness. Also disclosed is an apparatus for accomplishing the method. | 05-07-2015 |
20150136730 | VAPOR PHASE CHEMICAL MECHANICAL POLISHING OF MAGNETIC RECORDING DISKS - A method for polishing a carbon overcoat of a magnetic media that results in a smooth surface free of carbon cluster debris. The method involves forming a magnetic disk having a carbon overcoat formed thereon. The carbon overcoat is then polished in the presence of ozone (O | 05-21-2015 |
20150144592 | METHOD FOR MANUFACTURING MAGNETIC HEAD - According to one embodiment, a magnetic head includes a reproducing unit to detect a medium magnetic field recorded in a magnetic recording medium. The reproducing unit includes first and second magnetic shields, a stacked body, and a side wall film. The stacked body is provided between the first and second magnetic shields and includes first and second magnetic layer and an intermediate layer provided between them. The stacked body has a side wall. The side wall intersects a plane perpendicular to a stacking direction from the first magnetic shield toward the second magnetic shield. The side wall film covers at least a part of the side wall of the stacked body. The side wall film includes at least one of Fe and Co, and has a composition different from a composition of the first magnetic layer and different from a composition of the second magnetic layer. | 05-28-2015 |
20150310885 | PATTERN FORMING METHOD AND MANUFACTURING METHOD OF MAGNETIC RECORDING MEDIUM - According to one embodiment, disclosed is a pattern forming method including preparing a second dispersion by adding a second protective group and second solvent to fine particles including a first protective group whose surface polarity is close to that of the substrate, the fine particles containing, at least on the surface thereof, a material selected from Al, Ti, V, Cr, Mn, Fe, Co, Ni, Zn, Y, Zr, Sn, Mo, Ta, W, Au, Ag, Pd, Cu, Pt, and an oxide thereof, modifying the fine particles including the first protective group with the second protective group, adding a viscosity adjustment agent to the dispersion containing the fine particles to prepare a coating solution, and applying the coating solution on the substrate to form a fine particle layer thereon. | 10-29-2015 |
20150325264 | METHOD USING EPITAXIAL TRANSFER TO INTEGRATE HAMR PHOTONIC INTEGRATED CIRCUIT (PIC) INTO RECORDING HEAD WAFER - Embodiments of the present invention generally relate to a method for forming a HAMR device having a photonic integrated circuit that includes an optical detector, an optical emitter, and an optical element distinct from the optical detector and the optical emitter, where the elements of the photonic integrated circuit are aligned with a near field transducer. The method includes forming one or more layers on a substrate, bonding the layers to a partially fabricated recording head, removing the substrate using epitaxial lift-off, and forming the optical elements on the partially fabricated recording head. | 11-12-2015 |
20150332710 | CRYSTALLINE MAGNETIC LAYER TO AMORPHOUS SUBSTRATE BONDING - Various methods for attaching a crystalline write pole onto an amorphous substrate and the resulting structures are described in detail herein. Further, the resulting structure may have a magnetic moment exceeding 2.4 Tesla. Still further, methods for depositing an epitaxial crystalline write pole on a crystalline seed or template material to ensure that the phase of the write pole is consistent with the high moment phase of the template material are also described in detail herein. | 11-19-2015 |
20160064027 | METHOD FOR MAKING AN IMPRINT TEMPLATE WITH DATA REGIONS AND NON-DATA REGIONS USING BLOCK COPOLYMERS - A method to fabricate an imprint template for bit-patterned magnetic recording media using block copolymers (BCPs) integrates data region patterning and servo region patterning. A heat sink layer is formed on the imprint substrate only in the data regions. A sublayer for the BCP is deposited over both the data regions and the servo regions and patterned to form stripes in the data regions and servo features in the servo regions. A BCP is then deposited in both the data and servo regions. Only the BCP in the data regions is heated, which causes phase separation of the BCP in the data regions into the two BCP components. The selective heating may be accomplished by directed controlled laser radiation to only the data regions. The heat sink layer below the data regions absorbs the heat from the laser radiation, confining it to the data regions. | 03-03-2016 |
20160078887 | MAIN POLE LAYER WITH AT LEAST TWO SACRIFICIAL LAYERS AND A GAP LAYER - In accordance with one embodiment, a method may be implemented by depositing a non-magnetic gap layer of material above a main pole layer of magnetic material; depositing a sacrificial layer of material above the non-magnetic gap layer of material; etching a portion of the sacrificial layer of material while not entirely removing the sacrificial layer of material; and depositing additional sacrificial material to the etched sacrificial layer. | 03-17-2016 |
20160155615 | METHODS AND APPARATUS FOR A HYBRID CAPACITIVELY-COUPLED AND AN INDUCTIVELY-COUPLED PLASMA PROCESSING SYSTEM | 06-02-2016 |
20160168723 | METHOD OF PATTERNING A STACK | 06-16-2016 |
20160196840 | MAGNETIC ELEMENT WITH REDUCED SHIELD-TO-SHIELD SPACING | 07-07-2016 |
20160196847 | PERPENDICULAR MAGNETIC RECORDING MEDIUM, METHOD OF MANUFACTURING THE SAME, AND MAGNETIC RECORDING/REPRODUCTION APPARATUS | 07-07-2016 |