IBM Corporation Patent applications |
Patent application number | Title | Published |
20140173243 | EFFICIENT MANAGEMENT OF COMPUTER MEMORY USING MEMORY PAGE ASSOCIATIONS AND MEMORY COMPRESSION - A method for managing memory operations includes reading a first memory page from a storage device responsive to a request for the first memory page. The first memory page is stored to a system memory. Based on a pre-established set of association rules, one or more associated memory pages are identified that are related to the first memory page. The associated memory pages are read from the storage device and compressed to generate corresponding compressed associated memory pages. The compressed associated memory pages are also stored to the system memory to enable faster access to the associated memory pages during processing of the first memory page. The compressed associated memory pages are individually decompressed in response to the particular page being required for use during processing. | 06-19-2014 |
20140115585 | STRING CACHE FILE FOR OPTIMIZING MEMORY USAGE IN A JAVA VIRTUAL MACHINE - A method, system and computer program product for optimizing memory usage associated with duplicate string objects in a Java virtual machine. The method comprises scanning a heap of the Java virtual machine at the end of the start-up process of the virtual machine to identify duplicate strings associated with the virtual machine, storing the identified strings in a string cache file, and determining whether a new string that needs to be created during start-up already exists in the string cache file. The duplicate strings are added to an interned strings table. A reference to a duplicate string is returned if a string to be created is already in the string cache file. | 04-24-2014 |
20140082357 | CROSS ENTERPRISE COMMUNICATION - A method provides cross enterprise communication in which intermediary communication components carry out cross enterprise communication. The method at a first sending enterprise comprises: receiving a signed encrypted message from a sender within a first enterprise; validating the sender; decrypting the message; encrypting the message for receipt by a second enterprise; signing the encrypted message by the first enterprise; and sending the re-signed re-encrypted message to a second enterprise. The method at the second receiving enterprise comprises: receiving a signed encrypted message from a first enterprise; validating that the first enterprise is the sender; decrypting the message; encrypting the message for receipt by one or more recipients at the second enterprise; signing the encrypted message by the second enterprise indicating that the message is from the first enterprise; and sending the re-signed re-encrypted message to the one or more recipients of the second enterprise. | 03-20-2014 |
20140082272 | Memory Reorder Queue Biasing Preceding High Latency Operations - A method for controlling memory refresh operations in dynamic random access memories. The method includes determining a count of deferred memory refresh operations for a first memory rank. Responsive to the count approaching a high priority threshold, issuing an early high priority refresh notification for the first memory rank, which indicates the pre-determined time for performing a high priority memory refresh operation at the first memory rank. Responsive to the early high priority refresh notification, the behavior of a read reorder queue is dynamically modified to give priority scheduling to at least one read command targeting the first memory rank, and one or more of the at least one read command is executed on the first memory rank according to the priority scheduling. Priority scheduling removes these commands from the re-order queue before the refresh operation is initiated at the first memory rank. | 03-20-2014 |
20140052926 | EFFICIENT MANAGEMENT OF COMPUTER MEMORY USING MEMORY PAGE ASSOCIATIONS AND MEMORY - A system for managing memory operations. The system includes a processor executing instructions that cause the processor to read a first memory page from a storage device responsive to a request for the first memory page and store the first memory page to system memory. Based on a pre-established set of association rules, one or more associated memory pages are identified that are related to the first memory page. The associated memory pages are read from the storage device and compressed to generate corresponding compressed associated memory pages. The compressed associated memory pages are also stored to the system memory to enable faster access to the associated memory pages during processing of the first memory page. The compressed associated memory pages are individually decompressed in response to the particular page being required for use during processing. | 02-20-2014 |
20140012808 | METHOD AND SYSTEM FOR DISTRIBUTED TASK DISPATCH IN A MULTI-APPLICATION ENVIRONMENT BASED ON CONSENSUS - A method and system for distributing tasks from an external application among concurrent database application server instances in a database system for optimum load balancing, based on consensus among the instances. Each application instance identifies a task partition ownership by those in a membership group based on a time window and generates a new membership group and partition ownership based on the current partition ownership. The instance makes the new membership group and partition ownership known to other members by recoding them in the membership table and partition map. Each participation by an instance in the membership group is identified by a random number. The new membership group and partition ownership are generated and adjusted based on an average partition allocation to achieve consensus among the instances. | 01-09-2014 |
20130332501 | Fused Multiply-Adder with Booth-Encoding - A fused multiply-adder is disclosed. The fused multiply-adder includes a Booth encoder, a fraction multiplier, a carry corrector, and an adder. The Booth encoder initially encodes a first operand. The fraction multiplier multiplies the Booth-encoded first operand by a second operand to produce partial products, and then reduces the partial products into a set of redundant sum and carry vectors. The carry corrector then generates a carry correction factor for correcting the carry vectors. The adder adds the redundant sum and carry vectors and the carry correction factor to a third operand to yield a final result. | 12-12-2013 |
20130305197 | METHOD AND SYSTEM FOR OPTIMAL DIAMETER BOUNDING OF DESIGNS WITH COMPLEX FEED-FORWARD COMPONENTS - A computer-implemented method includes a processor identifying, within the netlist, at least one strongly connected components (SCCs) that has a reconvergent fanin input with at least two input paths from the reconvergent fanin input having a different propagation delay to the SCC. The method then computes an additive diameter for the netlist comprising at least one SCC, where the additive diameter includes a fanin additive diameter determined based on a propagation delay difference of the at least two input paths to a SCC and a number of complex feed-forward components within at least one input path. In response to the reconvergent fanin input to the SCC providing a binate function, the method computes a multiplicative diameter for the SCC utilizing a least common multiple (LCM) derived from one or more propagation delay differences across each reconvergent fanin input leading to the SCC. | 11-14-2013 |
20130290918 | CONSTRUCTING INDUCTIVE COUNTEREXAMPLES IN A MULTI-ALGORITHM VERIFICATION FRAMEWORK - A computer-implemented method simplifies a netlist, verifies the simplified netlist using induction, and remaps resulting inductive counterexamples via inductive trace lifting within a multi-algorithm verification framework. The method includes: a processor deriving a first unreachable state information that can be utilized to simplify the netlist; performing a simplification of the netlist utilizing the first unreachable state information; determining whether the first unreachable state information can be inductively proved on an original version of the netlist; and in response to the first unreachable state information not being inductively provable on the original netlist: projecting the first unreachable state information to a minimal subset; and adding the projected unreachable state information as an invariant to further constrain a child induction process. Adding the projected state information as an invariant ensures that any resulting induction counterexamples can be mapped to valid induction counterexamples on the original netlist before undergoing the simplification. | 10-31-2013 |
20130290666 | Demand-Based Memory Management of Non-pagable Data Storage - Management of a UNIX-style storage pools is enhanced by specially managing one or more memory management inodes associated with pinned and allocated pages of data storage by providing indirect access to the pinned and allocated pages by one or more user processes via a handle, while preventing direct access of the pinned and allocated pages by the user processes without use of the handles; scanning periodically hardware status bits in the inodes to determine which of the pinned and allocated pages have been recently accessed within a pre-determined period of time; requesting via a callback communication to each user process to determine which of the least-recently accessed pinned and allocated pages can be either deallocated or defragmented and compacted; and responsive to receiving one or more page indicators of pages unpinned by the user processes, compacting or deallocating one or more pages corresponding to the page indicators. | 10-31-2013 |
20130254776 | METHOD TO REDUCE QUEUE SYNCHRONIZATION OF MULTIPLE WORK ITEMS IN A SYSTEM WITH HIGH MEMORY LATENCY BETWEEN PROCESSING NODES - A method efficiently dispatches/completes a work element within a multi-node, data processing system that has a global command queue (GCQ) and at least one high latency node. The method comprises: at the high latency processor node, work scheduling logic establishing a local command/work queue (LCQ) in which multiple work items for execution by local processing units can be staged prior to execution; a first local processing unit retrieving via a work request a larger chunk size of work than can be completed in a normal work completion/execution cycle by the local processing unit; storing the larger chunk size of work retrieved in a local command/work queue (LCQ); enabling the first local processing unit to locally schedule and complete portions of the work stored within the LCQ; and transmitting a next work request to the GCQ only when all the work within the LCQ has been dispatched by the local processing units. | 09-26-2013 |
20130254165 | Efficient Backup and Restore of a Cluster Aware Virtual Input/Output Server (VIOS) Within a VIOS Cluster - In a Virtual Input/Output (I/O) Server (VIOS) partition within a data processing system that comprises cluster-aware VIOSes, a method includes: performing, via a backup/restore utility of a cluster aware (CA) operating system (OS) executing on a processor resource of the first VIOS partition, a backup operation on the first VIOS partition, which creates a first configuration backup file having configuration information about the hardware, logical and virtual devices of the VIOS partition; storing the configuration backup file within local storage; and responsive to receipt of a VIOS restore command: retrieving the configuration backup file from the local storage; and restoring the configuration of the hardware, logical and virtual devices of the first VIOS to a state that existed at a time at which the backup operation creating the configuration backup file was performed. | 09-26-2013 |
20130212330 | MEMORY RECORDER QUEUE BIASING PRECEDING HIGH LATENCY OPERATIONS - A memory system and data processing system for controlling memory refresh operations in dynamic random access memories. The memory controller comprises logic that: tracks a time remaining before a scheduled time for performing a high priority, high latency operation a first memory rank of the memory system; responsive to the time remaining reaching a pre-established early notification time before the schedule time for performing the high priority, high latency operation, biases the re-order queue containing memory access operations targeting the plurality of ranks to prioritize scheduling of any first memory access operations that target the first memory rank. The logic further: schedules the first memory access operations to the first memory rank for early completion relative to other memory access operations in the re-order queue that target other memory ranks; and performs the high priority, high latency operation at the first memory rank at the scheduled time. | 08-15-2013 |
20130159649 | Selecting a Primary-Secondary Host Pair for Mirroring Virtual Machines - A method for mirroring virtual machines from a primary host to a secondary host. The method includes tracking changes for each of a plurality of memory pages and processor states for one or more primary host virtual machines. Responsive to an occurrence of a checkpoint, the primary host virtual machines are stopped. A determination is made if each of the memory pages is frequently changed. In response to the memory page being frequently changed, the frequently changed memory page is marked as being writeable and copied to a buffer. In response to the memory page being infrequently changed, the infrequently changed memory page is marked as being read only. The one or more primary host virtual machines are resumed. A copy of the memory pages, the buffer and changes to the processor states are transmitted to the secondary host. | 06-20-2013 |
20130103384 | TRANSLATING PROMPT AND USER INPUT - A method for intercepting an application prompt before it reaches the user interface, wherein the application prompt has been transmitted from the computer application and intended to reach the user interface. The method also includes translating the intercepted application prompt from a source language to a target user language, and in response to translating the intercepted application prompt, transmitting the translated application prompt to the user interface. The method also includes intercepting, in response to the application prompt, user input from the user interface, wherein the user input is intended to reach the computer application. The method also includes translating the user input from the target language to the source language, and in response to translating the intercepted application prompt, transmitting the translated user input to the computer application. | 04-25-2013 |
20130091335 | RESOURCE RECOVERY FOR CHECKPOINT-BASED HIGH-AVAILABILITY IN A VIRTUALIZED ENVIRONMENT - A computer-implemented method, computer program product and data processing system provide checkpoint high-available for an application in a virtualized environment with reduced network demands. An application executes on a primary host machine comprising a first virtual machine. A virtualization module receives a designation from the application of a portion of the memory of the first virtual machine as purgeable memory, wherein the purgeable memory can be reconstructed by the application when the purgeable memory is unavailable. Changes are tracked to a processor state and to a remaining portion that is not purgeable memory and the changes are periodically forwarded at checkpoints to a secondary host machine. In response to an occurrence of a failure condition on the first virtual machine, the secondary host machine is signaled to continue execution of the application by using the forwarded changes to the remaining portion of the memory and by reconstructing the purgeable memory. | 04-11-2013 |
20130080998 | EXTRACTING BUSINESS RULES OF A SERVICE-ORIENTED ARCHITECTURE (SOA) SYSTEM - The present disclosure discloses a method, system, and computer program product for extracting business rules of a Service-Oriented Architecture (SOA) system. Each of the method, system, and computer program product providing functions comprising: obtaining values of a plurality of business objects; obtaining structure units of the SOA system; establishing association relationships between the structure units and the values of the business objects; and generating business rules based on the association relationships. Using one or more embodiments of the present disclosure can break through the limitations of the conventional method of extracting business rules of a SOA system based on code, and can extract business rules of a complex SOA system. | 03-28-2013 |
20130075148 | Method and Apparatus to Reduce Impedance Discontinuity in Packages - A device and/or apparatus having plated through holes (PTHs) which are coated to reduce impedance discontinuity in electronic packages. PTH vias are imbedded in the core of a printed circuit board comprising a core layer, a plurality of buildup layers, a plurality of micro-vias, and a plurality of traces. Traces electrically interconnect each of the micro-vias to PTH vias, forming an electrically conductive path. PTHs are coated with a magnetic metal material, such as nickel, to increase the internal and external conductance of the PTHs, thereby providing decreased impedance discontinuity of the signals in electronic packages. | 03-28-2013 |
20130074065 | Maintaining Consistency of Storage in a Mirrored Virtual Environment - A method of achieving data consistency in a shared storage accessible by a first and second machine. The method includes, in response to receiving state information of the first machine, configuring the second machine to a mirrored operating state corresponding to an operating state of the first machine, receiving a notification that the first machine will overwrite existing data stored in the shared storage, and, in response to the notification, reading the existing data, storing a copy of existing data in a local storage of the second machine, and sending an acknowledgment to the first machine that the copy has been stored in the local storage, to enable the first machine to overwrite the existing data with newly written data. The method also includes, in response to receiving a failure notification, retrieving the copy of the existing data, overwriting the newly written data with the copy of the existing data. | 03-21-2013 |
20130073823 | Mirroring Virtual Machines from a Primary Host to a Secondary Host - A method for mirroring virtual machines from a primary host to a secondary host. The method includes tracking changes for each of a plurality of memory pages and processor states for one or more primary host virtual machines. Responsive to an occurrence of a checkpoint, the primary host virtual machines are stopped. A determination is made if each of the memory pages is frequently changed. In response to the memory page being frequently changed, the frequently changed memory page is marked as being writeable and copied to a buffer. In response to the memory page being infrequently changed, the infrequently changed memory page is marked as being read only. The one or more primary host virtual machines are resumed. A copy of the memory pages, the buffer and changes to the processor states are transmitted to the secondary host. | 03-21-2013 |
20130073778 | Mirroring Virtual Machines from a Primary Host to a Secondary Host - A system and computer program product for mirroring virtual machines from a primary host to a secondary host. The system includes a processor tracking changes for each of a plurality of memory pages and processor states for one or more primary host virtual machines. Responsive to an occurrence of a checkpoint, the primary host virtual machines are stopped. A determination is made if each of the memory pages is frequently changed. In response to the memory page being frequently changed, the frequently changed memory page is marked as being writeable and copied to a buffer. In response to the memory page being infrequently changed, the infrequently changed memory page is marked as being read only. The one or more primary host virtual machines are resumed. A copy of the memory pages, the buffer and changes to the processor states are transmitted to the secondary host. | 03-21-2013 |
20130054807 | Selecting a Primary-Secondary Host Pair for Mirroring Virtual Machines - A system and a computer program product for selecting a primary-secondary host pair for mirroring virtual machines. The system includes a processor collecting data related to each of a plurality of potential primary-secondary host pairs in a computer network. The processor analyzes each of the plurality of potential primary-secondary host pairs in the computer network utilizing performance metrics. An ideal primary-secondary host pair in the computer network is determined by the processor based on the analysis of the performance metrics of each of the plurality of potential primary-secondary host pairs. In response to determining the ideal primary-secondary host pair, a primary host virtual machine is mirrored onto the secondary host of the primary-secondary host pair. | 02-28-2013 |
20130047130 | EARLY NOISE DETECTION AND NOISE AWARE ROUTING IN CIRCUIT DESIGN - A computerized method, data processing system and computer program product reduce noise for a buffered design of an electronic circuit which was already placed and routed. For all areas between a power stripe and a ground stripe (half bay) in the design, the shapes are divided in different criticality levels. The shapes are rearranged based on their criticality level such that shapes with higher criticality level are placed closer to the stripes than those with lower criticality level. | 02-21-2013 |
20130047006 | Energy Based Resource Allocation Across Virtualized Machines and Data Centers - A method allocates energy entitlement to a logical partition (LPAR) executing on a data processing system. An energy entitlement allocation (EEA) utility enables an administrator to specify a minimum and/or maximum energy entitlement and an LPAR priority. When the relevant LPARs utilize the respective minimum energy entitlement based on a respective energy consumption, the EEA utility determines whether the LPAR(s) has satisfied a respective maximum energy entitlement. When the LPAR has not satisfied its maximum energy entitlement, the EEA utility allocates unused energy entitlement from the data processing system to the LPAR, according to an allocation policy. Additionally, the EEA utility dynamically adjusts a priority level for the LPAR to efficiently control resource allocation, according to the LPAR's energy consumption relative to its energy entitlement. In addition, the EEA utility is able to transfer unused energy entitlement to other data processing systems requiring further allocation of energy entitlement. | 02-21-2013 |
20130046998 | ENERGY BASED RESOURCE ALLOCATION ACROSS VIRTUALIZED MACHINES AND DATA CENTERS - A system and computer program product allocates energy entitlement to a logical partition (LPAR) executing on a data processing system. An energy entitlement allocation (EEA) utility enables an administrator to specify a minimum and/or maximum energy entitlement and An LPAR priority. When the relevant LPARs utilize the respective minimum energy entitlement based on respective energy consumption, the EEA utility determines whether the LPAR (and other LPARs) has satisfied a respective maximum energy entitlement. When the LPAR has not satisfied its maximum energy entitlement, the EEA utility allocates unused energy entitlement from the data processing system to the LPAR, according to an allocation policy. Additionally, the EEA utility dynamically adjusts a priority level for the LPAR to efficiently control resource allocation, according to the LPAR's energy consumption relative to its energy entitlement. In addition, the EEA utility is able to transfer unused energy entitlement to other data processing systems requiring further allocation of energy entitlement. | 02-21-2013 |
20130042153 | Checkpoint Debugging Using Mirrored Virtual Machines - A computer-implemented method of debugging computer code includes: obtaining state information corresponding to a first machine at a checkpoint initiated during execution of the computer code on the first machine; and configuring the second machine to a same operating state as the first machine at the checkpoint to create a mirrored version of the first machine. The method also includes receiving a notification that execution of the program on a first machine has failed, and in response to receiving the notification: triggering a processor of the second machine to initiate execution of a copy of the code from a specific code execution point at which the checkpoint was; activating a debugger module to run concurrently with the execution of the program on the second machine and collect and store the debug data as corresponding to execution failure of the computer code at the first machine. | 02-14-2013 |
20130042150 | Checkpoint Debugging Using Mirrored Virtual Machines - A system of debugging computer code includes a processor: obtaining state information corresponding to a first machine at a checkpoint initiated during execution of the computer code on the first machine; and configuring the second machine to a same operating state as the first machine at the checkpoint to create a mirrored version of the first machine. The system also includes receiving a notification that execution of the program on a first machine has failed, and in response to receiving the notification: triggering a processor of the second machine to initiate execution of a copy of the code from a specific code execution point at which the checkpoint was; activating a debugger module to run concurrently with the execution of the program on the second machine and collect and store the debug data as corresponding to execution failure of the computer code at the first machine. | 02-14-2013 |
20130031341 | Hibernation and Remote Restarting Hibernation Data in a Cluster Environment - Hibernation and remote restore functions of a client logical partition (LPAR) that exists within a data processing system having cluster-aware Virtual Input/Output (I/O) Servers (VIOSes) is performed via receipt of commands via a virtual control panel (VCP) through an underlying hypervisor. The client hibernation data file is stored in a shared repository by a source/original VIOS assigned to the client. The hypervisor receives a remote restart command and assigns a target/remote client LPAR and a target VIOS. The source I/O adapters and target I/O adapters are locked and the target VIOS gathers adapter configuration information from the source VIOS and configures the target adapters to be able to perform the I/O functionality provided by the source adapters to the client LPAR. The target VIOS then retrieves the client's hibernation data file, and the client LPAR is restored at the remote LPAR with the target VIOS providing the client's I/O functionality. | 01-31-2013 |
20130024855 | Check-point Based High Availability: Network Packet Buffering in Hardware - A method, system, and computer program product enhances resource/process availability by providing hardware based buffering of network packets during checkpointing in a virtualized environment. A High Availability Checkpoint (HAC) utility pre-configures a physical network adapter to buffer outgoing network packets from virtual machines that employ a checkpointing technology. In response to receiving an outgoing network packet from a virtual machine and determining that the virtual machine employs a pre-specified checkpointing technology, the physical network adapter buffers the outgoing network packet. In addition, a primary host performs/facilitates checkpoint operations (associated with the virtual machine) with a secondary host. When checkpoint operations are successfully completed, the HAC utility triggers the transmission of the buffered network packets from the network adapter to a network destination. The physical network adapter minimizes checkpointing network latency by pre-assigning a higher priority to a buffered network packet from a checkpointing virtual machine than to a new network packet that originates from a non-checkpointing virtual machine. | 01-24-2013 |
20130024718 | Multiple Node/Virtual Input/Output (I/O) Server (VIOS) Failure Recovery in Clustered Partition Mobility - A method utilizes cluster-awareness to effectively support a live partition mobility (LPM) event and provide recovery from node failure within a Virtual Input/Output (I/O) Server (VIOS) cluster. An LPM utility creates a monitoring thread on a first VIOS on initiation of a corresponding LPM event. The monitoring thread tracks a status of an LPM and records status information in the mobility table of a database. The LPM utility creates other monitoring threads on other VIOSes running on the (same) source server. If the first VIOS VIOS sustains one of multiple failures, the LPM utility provides notification to other functioning nodes/VIOSes. The LPM utility enables a functioning monitoring thread to update the LPM status. In particular, a last monitoring thread may perform cleanup/update operations within the database based on an indication that there are nodes on the first server that are in failed state. | 01-24-2013 |
20130019308 | Method and Device for Preventing CSRF Attack - The disclosure provides method for preventing CSRF attacks, in which the method provides: intercepting request sent from a client browser to a server; generating a token; generating a response to the request; inserting the token into the response to the request; and sending the response to the request to the client browser with the token inserted into the response. With the method of the disclosure, it is assured that a token is inserted into all the requests made by a user through a client browser for accessing a resource. And it can be assured that the request is issued by the user himself by verifying whether the token in the request is valid, thereby preventing a CSRF attack. | 01-17-2013 |
20130019250 | Interdependent Task Management - An illustrative embodiment of a computer-implemented process for interdependent task management selects a task from an execution task dependency chain to form a selected task, wherein a type selected from a set of types including “forAll,” “runOnce” and none is associated with the selected task and determines whether there is a “forAll” task. Responsive to a determination that there is no “forAll” task, determines whether there is a “runOnce” task and responsive to a determination that there is a “runOnce” task further determines whether there is a semaphore for the selected task. Responsive to a determination that there is a semaphore for the selected task, the computer-implemented process determines whether the semaphore is “on” for the selected task and responsive to a determination that the semaphore is “on,” sets the semaphore “off” and executes the selected task. | 01-17-2013 |
20130019247 | Method for using a temporary object handle - A method is provided for using a temporary object handle. The method performed at a resource manager includes: receiving an open temporary handle request from an application for a resource object, wherein a temporary handle can by asynchronously invalidated by the resource manager at any time; and creating a handle control block at the resource manager for the object, including an indication that the handle is a temporary handle. The method then includes: responsive to receiving a request from an application to use a handle, which has been invalidated by the resource manager, sending a response to the application that the handle is invalidated. | 01-17-2013 |
20130014243 | Cross Domain Single Sign On - The present application provides a method and system for Cross Domain Single Sign On. The method comprises: receiving a request from a user to a service provider; processing the user request prior to relaying the request to the service provider; forwarding the processed request to the corresponding service provider according, to the type of request; in response to receiving a response to the request from the service provider, processing the response, and forwarding the processed response to the user. By adopting the method and system of the present application, a Single Sign On management proxy is introduced as a united management system for a session lifetime of the user. The SSO management proxy manages operations of logging in, checking a session expiration and recovering, logging out, URL mapping, error processing, and access control, and effectively improves the availability, security, functional continuity of the service as well as the user's experience. | 01-10-2013 |
20130014124 | REDUCING CROSS QUEUE SYNCHRONIZATION ON SYSTEMS WITH LOW MEMORY LATENCY ACROSS DISTRIBUTED PROCESSING NODES - A method for efficient dispatch/completion of a work element within a multi-node data processing system. The method comprises: selecting specific processing units from among the processing nodes to complete execution of a work element that has multiple individual work items that may be independently executed by different ones of the processing units; generating an allocated processor unit (APU) bit mask that identifies at least one of the processing units that has been selected; placing the work element in a first entry of a global command queue (GCQ); associating the APU mask with the work element in the GCQ; and responsive to receipt at the GCQ of work requests from each of the multiple processing nodes or the processing units, enabling only the selected specific ones of the processing nodes or the processing units to be able to retrieve work from the work element in the GCQ. | 01-10-2013 |
20130013897 | METHOD TO DYNAMICALLY DISTRIBUTE A MULTI-DIMENSIONAL WORK SET ACROSS A MULTI-CORE SYSTEM - A method provides efficient dispatch/completion of an N Dimensional (ND) Range command in a data processing system (DPS). The method comprises: a compiler generating one or more commands from received program instructions; ND Range work processing (WP) logic determining when a command generated by the compiler will be implemented over an ND configuration of operands, where N is greater than one (1); automatically decomposing the ND configuration of operands into a one (1) dimension (1D) work element comprising P sequentially ordered work items that each represent one of the operands; placing the 1D work element within a command queue of the DPS; enabling sequential dispatching of 1D work items in ordered sequence from to one or more processing units; and generating an ND Range output by mapping the 1D work output result to an ND position corresponding to an original location of the operand represented by the 1D work item. | 01-10-2013 |
20130013569 | Efficient Backup and Restore of Virtual Input/Output Server (VIOS) Cluster - A method enables cluster-level backup and restore functionality of all Virtual Input/Output Server (VIOS) configuration data within a VIOS cluster and the data of a shared VIOS cluster database. The method comprises: performing, via a backup/restore utility of a VIOS partition, a cluster level backup, which creates a first VIOS cluster configuration backup file having configuration information about hardware, logical and virtual devices of each VIOS partition within a VIOS cluster and all cluster data from the shared VIOS database of the VIOS cluster; storing the VIOS cluster configuration backup file within a storage location; and responsive to receipt of a VIOS restore command at a VIOS partition: retrieving the configuration backup file from the storage location; restoring a configuration of the hardware, logical and virtual devices of each VIOS within the VIOS cluster to prior state; and restoring the shared VIOS database with the backed-up cluster data. | 01-10-2013 |
20130013285 | Mechanism for NPIV Client Recovery When NPIV Server Goes Down - With N_Port ID Virtualization (NPIV), a managed system can he configured so that multiple logical partitions (LPARs) can access independent physical storage through the same physical fibre channel adapter. An NPIV client recovery component of a virtualization management component, such as a Power Hypervisor (pHYP), provides the emulation mapping between server and client virtual fibre channel adapters. The pHYP also provides a mechanism that prevents client partition crashes when the NPIV server (e.g., a VIOS logical partition) goes down. When the NPIV server is rebooted or powers down, the pHYP handles the client LPARs to avoid a crash by removing processing resources from the client logical partition. Thereby, the client logical partition is prevented from attempting to access a root volume group in physical storage via the NPIV server. The pHYP allocates processor resources to the client LPAR when the NPIV server is again available for I/O processing. | 01-10-2013 |
20120331460 | Centrally Controlled Proximity Based Software Installation - An illustrative embodiment of a computer-implemented process for a centrally controlled proximity based software installation receives a request to install a software package, sends a list of machines associated with the received request to a rules engine and generates a set of proximity groups using the list of machines. The computer-implemented process uploads the software package of the received request to a selected machine within a proximity group of the set of proximity groups, instructs the selected machine to install the software package and instructs the remaining machines associated with the proximity group of the selected machine to download the software package from the selected machine and to install the software package. Responsive to a determination that no more requests exist, the computer-implemented process reports to a central installer. | 12-27-2012 |
20120331340 | Method and Arrangement for Fault Handling in a Distributed IT Environment - An improved method for fault handling in a distributed IT environment. The distributed IT environment executes at least one workflow application interacting with at least one application by using interface information about the at least one application. The method comprises: storing at least one fault handling description in a implementation-independent meta language associated with the at least one application; associating the interface information with the at least one fault handling description based on at least one defined fault handling policy, created based on at least one service definition; and the workflow application if a fault response from the at least one application is received: retrieving at least one associated fault handling description based on at least one fault handling policy, and interpreting and executing a particular meta language code of the at least one associated fault handling description in order to continue the defined workflow application. | 12-27-2012 |
20120329268 | METHOD OF MAKING A SEMICONDUCTOR DEVICE - An improved method of making interconnect structures with self-aligned vias in semiconductor devices utilizes sidewall image transfer to define the trench pattern. The sidewall height acts as a sacrificial mask during etching of the via and subsequent etching of the trench, so that the underlying metal hard mask is protected. Thinner hard masks and/or a wider range of etch chemistries may thereby be utilized. | 12-27-2012 |
20120324480 | EFFICIENT SERIALIZATION OF MUTABLE OBJECTS - A method of serialization in a data processing system includes serializing a mutable object utilizing a full serialization process, caching primitive data and metadata regarding the mutable object in binary format in cache and then checking whether primitive fields of the mutable object are modified. Thereafter, the mutable object is again serialized utilizing an abbreviated serialization process by reference to the cached primitive data and metadata if the primitive fields of the object are not modified. In the event that primitive fields of the object are modified, the full serialization process is utilized. Thereafter, the serialized mutable object is communicated to a distributed code element. | 12-20-2012 |
20120323852 | DATABASE REDISTRIBUTION UTILIZING VIRTUAL PARTITIONS - In some embodiments, a partitioned database is stored in a plurality of logical or physical partitions on at least a logical or physical first data storage node, and a subset of a first partition among the plurality of logical partitions is configured as a virtual partition. An input indicating an allocation of a second physical data storage node to store the partitioned database is received. A second partition is configured on the second data storage node. In response to the input, the partitioned database is redistributed over the first and second data storage nodes by moving data within the virtual partition on the first partition to the second partition. | 12-20-2012 |
20120303594 | Multiple Node/Virtual Input/Output (I/O) Server (VIOS) Failure Recovery in Clustered Partition Mobility - A method, system, and computer program product utilizes cluster-awareness to effectively support a live partition mobility (LPM) event and provide recovery from node failure within a Virtual Input/Output (I/O) Server (VIOS) cluster. An LPM utility creates a monitoring thread on a first VIOS on initiation of a corresponding LPM event. The monitoring thread tracks a status of an LPM and records status information in the mobility table of a database. The LPM utility creates other monitoring threads on other VIOSes running on the (same) source server. If the first VIOS VIOS sustains one of multiple failures, the LPM utility provides notification to other functioning nodes/VIOSes. The LPM utility enables a functioning monitoring thread to update the LPM status. In particular, a last monitoring thread may perform cleanup/update operations within the database based on an indication that there are nodes on the first server that are in failed state. | 11-29-2012 |
20120284722 | METHOD FOR DYNAMICALLY THROTTLING TRANSACTIONAL WORKLOADS | 11-08-2012 |
20120284557 | MECHANISM TO ENABLE AND ENSURE FAILOVER INTEGRITY AND HIGH AVAILABILITY OF BATCH PROCESSING - A method, system and computer program product manages a batch processing job by: partitioning the batch processing job for execution in a plurality of batch execution servers from a cluster of computers; designating one computer from the cluster as a primary command server that oversees and coordinates execution of the batch processing job; selecting a second computer from the cluster to serve as a failover command server; storing an object data grid structure in the primary command server; replicating the object grid structure to create and store a replicated object grid structure in the failover command server; in response to the primary command server failing, restarting, by the failover command server, execution of batch processes from the batch processing job in the plurality of batch execution servers utilizing objects within the replicated object grid structure, and executing the batch processes with processing states at the time of the failover. | 11-08-2012 |
20120284548 | Zero Indication Forwarding for Floating Point Unit Power Reduction - A method and system for reducing power consumption when processing mathematical operations. Power may be reduced in processor hardware devices that receive one or more operands from an execution unit that executes instructions. A circuit detects when at least one operand of multiple operands is a zero operand, prior to the operand being forwarded to an execution component for completing a mathematical operation. When at least one operand is a zero operand or at least one operand is “unordered”, a flag is set that triggers a gating of a clock signal. The gating of the clock signal disables one or more processing stages and/or devices, which perform the mathematical operation. Disabling the stages and/or devices enables computing the correct result of the mathematical operation on a reduced data path. When a device(s) is disabled, the device may be powered off until the device is again required by subsequent operations. | 11-08-2012 |
20120273185 | ENVIRONMENTAL CONTROL OF LIQUID COOLED ELECTRONICS - A method, system, and computer program product are provided for controlling liquid-cooled electronics, which includes measuring a first set point temperature, T | 11-01-2012 |
20120266025 | Tool for Analyzing Siebel Escripts - A method, system and computer program product for enabling automated analysis of an extracted eScript to identify one or more problems within a configuration and script. The eScript is extracted from a Siebel repository and received in a DAVID (Development Application Verification Information on Demand for Siebel application where the VB.NET application, called SiebelParser, is utilized to conduct the analysis of the eScript. Occurrences of issues within an eScript are detected by SiebelParser. Issues within the eScript may include one or more of: empty event handlers, explicit object release, setting field values utilizing hard coded values, unnecessarily activated fields, call to next record after a call to delete record, and functions containing unnecessary code. Results from the analysis are reported to one log file document. The results of SiebelParser's log file are later incorporated to a spreadsheet by DAVID, to provide a more readable output format. | 10-18-2012 |
20120260069 | Processor Including Age Tracking of Issue Queue Instructions - An information handling system includes a processor with an instruction issue queue (IQ) that may perform age tracking operations. The issue queue IQ maintains or stores instructions that may issue out-of-order in an internal data store (IDS). The IDS organizes instructions in a queue position (QPOS) addressing arrangement. An age matrix of the IQ maintains a record of relative instruction aging for those instructions within the IDS. The age matrix updates latches or other memory cell data to reflect the changes in IDS instruction ages during a dispatch operation into the IQ. During dispatch of one or more instructions, the age matrix may update only those latches that require data change to reflect changing IDS instruction ages. The age matrix employs row and column data and clock controls to individually update those latches requiring update. | 10-11-2012 |
20120246642 | Management of File Images in a Virtual Environment - A method, system, and computer program product provides effective management and deployment of image files within a Virtual Input/Output (I/O) Server (VIOS) cluster. An Image Management (IM) utility utilizes a discovery process to establish connections with objects in order to manage these objects. Following discovery of an ICP client, the IM utility enables creation of a virtual server partition and initiates the importing of a captured image to a VIOS cluster Storage Pool (SP). The IM utility creates logical units (LUs) within the Image Management Fileset (IMF) for each file within the captured image. The IM utility maps the LUs to the VIOS Cluster SP using unique image file handles. The IM utility configures the new storage that comprises the created LUs. The IM utility copies image files to the appropriate storage device/LU. When import/copy of the image is completed, the IM utility activates the virtual server partition. | 09-27-2012 |
20120246517 | PROVIDING FIRST FIELD DATA CAPTURE IN A VIRTUAL INPUT/OUTPUT SERVER (VIOS) CLUSTER ENVIRONMENT WITH CLUSTER-AWARE VIOSES - A first virtual I/O server (VIOS) provides a cluster aware (CA) operating system (OS) executing on a processor resource of the first VIOS to register the first VIOS within a VIOS cluster. The first VIOS comprises a first field/failure data capture (FFDC) module that executes within the first VIOS and performs the functions of: receiving from an event listener a signal indicating that an FFFDC event/condition has been detected by the first VIOS; and automatically transmitting FFDC data to the shared storage repository for storage of the FFDC data within the shared storage repository. The FFDC module further performs the functions of: transmitting to one or more second VIOSes within the VIOS cluster, one or more messages to inform the one or more second VIOSes of an occurrence of the FFDC event/condition that was detected by the first VIOS. | 09-27-2012 |
20120233224 | DATA PROCESSING - Disclosed is a method for identifying inactive objects in an entity. The inactive objects may be purged from an entity to reduce the size of the entity. | 09-13-2012 |
20120224690 | Cross Enterprise Communication - Method and system for cross enterprise communication are provided in which intermediary communication components carry out cross enterprise communication. A method at a first sending enterprise comprises: receiving a signed encrypted message from a sender within a first enterprise; validating the sender; decrypting the message; encrypting the message for receipt by a second enterprise; signing the encrypted message by the first enterprise; and sending the re-signed re-encrypted message to a second enterprise. The method at the second receiving enterprise comprises: receiving a signed encrypted message from a first enterprise; validating that the first enterprise is the sender; decrypting the message; encrypting the message for receipt by one or more recipients at the second enterprise; signing the encrypted message by the second enterprise indicating that the message is from the first enterprise; and sending the re-signed re-encrypted message to the one or more recipients of the second enterprise. | 09-06-2012 |
20120223442 | Method for Manufacturing an Electronic Device - During manufacture of an electronic device, an aerogel coating is applied to a first side of an IC substrate of a first IC. A bonding procedure is initiated, during which IC interconnects are either placed on the coated side of the substrate or on the opposite side of the substrate. The first IC is connected on a carrier to a second IC with the coated side of the first IC facing the second IC to reduce heat transmission to the second IC during operation of the first IC. The aerogel coating reduces thermal stress to the circuit board and surrounding components, reduces the risk of overheating of critical circuit components, provides chemical and mechanical insulation from contamination during subsequent wafer handling operations, and provides a thermal isolator between IC regions of dissimilar power dissipation, which isolator facilitates efficient thermal extraction from localized hotspots. | 09-06-2012 |
20120221812 | METHOD FOR PRESERVING MEMORY AFFINITY IN A NON-UNIFORM MEMORY ACCESS DATA PROCESSING SYSTEM - A method for preserving memory affinity in a computer system is disclosed. The method reduces and sometimes eliminates memory affinity loss due to process migration by restoring the proper memory affinity through dynamic page migration. The memory affinity access patterns of individual pages are tracked continuously. If a particular page is found almost always to be accessed from a particular remote access affinity domain for a certain number of times, and without any intervening requests from other access affinity domain, the page will migrate to that particular remote affinity domain so that the subsequent memory access becomes local memory access. As a result, the proper pages are migrated to increase memory affinity. | 08-30-2012 |
20120221471 | Electronic System for Selecting the Best Card from a Collection of Consumer Credit, Debit and Discount Cards - A method, system and computer program product for enabling completion of purchase transactions by retrieving purchase card information from an electronic database of consumer cards and applying the appropriate card to the transaction. The database, managed by a central card authority, stores client account information for cards such as debit, credit, phone, and discount cards, which are accessible via a unique, secure login/access credential, such as a username and password combination. During registration with the central card authority, a client classifies key/important factors to consider when performing purchases. The central card authority is accessed by the client during purchases from a retail facility and/or other purchasing environment. The central card authority automatically selects the best card to utilize during a purchase, after weighing the important purchasing factors related to the credit, debit, phone, and discount cards registered with the central card authority. | 08-30-2012 |
20120206984 | METHOD AND APPARATUS FOR PERFORMING REFRESH OPERATIONS IN HIGH-DENSITY MEMORIES - A method for performing refresh operations is disclosed. In response to a completion of a memory operation, a determination is made whether or not a refresh backlog count is greater than a first predetermined value. In a determination that the refresh backlog count is greater than the first predetermined value, a refresh operation is performed as soon as possible. In a determination that the refresh backlog count is not greater than the first predetermined value, a refresh operation is performed after a delay of an idle count value. | 08-16-2012 |
20120203878 | Method for Changing Ethernet MTU Size on Demand with No Data Loss - A method and system for substantially avoiding loss of data and enabling continuing connection to the application during an MTU size changing operation in an active network computing device. Logic is added to the device driver, which logic provides several enhancements to the MTU size changing operation/process. Among these enhancements are: (1) logic for temporarily pausing the data coming in from the linked partner while changing the MTU size; (2) logic for returning a “device busy” status to higher-protocol transmit requests during the MTU size changing process. This second logic prevents the application from issuing new requests until the busy signal is removed; and (3) logic for enabling resumption of both flows when the MTU size change is completed. With this new logic, the device driver/adapter does not have any transmit and receive packets to process for a short period of time, while the MTU size change is ongoing. | 08-09-2012 |
20120203585 | SYSTEMS AND METHODS FOR GENERATING MISSING RULES MATCHING A MINIMAL SET OF OBJECTS | 08-09-2012 |
20120185228 | Structure for Performing Cacheline Polling Utilizing a Store and Reserve Instruction - A design structure for performing cacheline polling utilizing a store and reserve instruction are disclosed. In accordance with one embodiment of the present invention, a first process initially requests an action to be performed by a second process. A reservation is set at a cacheable memory location via a store operation. The first process reads the cacheable memory location via a load operation to determine whether or not the requested action has been completed by the second process. The load operation of the first process is stalled until the reservation on the cacheable memory location is lost. After the requested action has been completed, the reservation in the cacheable memory location is reset by the second process. | 07-19-2012 |
20120180070 | SINGLE POINT, SCALABLE DATA SYNCHRONIZATION FOR MANAGEMENT OF A VIRTUAL INPUT/OUTPUT SERVER CLUSTER - A method, data processing system and computer program product provide scalable data synchronization for a virtual input/output server (VIOS) cluster and one or more registered callers. A first VIOS is commits as a primary node of the VIOS cluster and performs the functions of: registering one or more callers to receive notification from the first VIOS of specific events occurring within the cluster; receiving notification of an occurrence of one of the specific events; and in response to receiving notification of the specific events, a deamon of the first VIOS retrieving a message payload file from a message payload file directory within the shared VIOS DB and passing the message payload file to the API, which forwards/posts the relevant event notification information from the message payload file to the TCP socket of each registered caller. | 07-12-2012 |
20120180026 | SYSTEM AND METHOD FOR UPDATING INITIALIZATION PARAMETERS FOR APPLICATION SOFTWARE FROM WITHIN A SOFTWARE DEVELOPMENT ENVIRONMENT - A computer implemented method for updating initialization parameters for application software from within a software development environment. The method involves changing a value of at least one initialization parameter contained in a configuration file of a developer computer system. The method also involves starting application software deployed on an application server in response to the value change. Thereafter, the configuration file including the changed value of the initialization parameter is communicated from the developer computer system to the application server. Once the configuration file is received at the application server, the application software is initialized using the configuration file. | 07-12-2012 |
20120179837 | COMMUNICATION PROTOCOL FOR VIRTUAL INPUT/OUTPUT SERVER (VIOS) CLUSTER COMMUNICATION - A first Virtual Input/Output Server (VIOS) of a VIOS cluster performs the functions of: generating, at a sending daemon of the first VIOS, a send message that is to be transmitted to a receiving daemon at a second VIOS; in response to completion of the generating of the send message, forwarding the send message to a sending virtual small computer systems interface (vscsi) kernel extension (VKE) via a system call interface; and in response to the sending VKE receiving the send message from the sending daemon, forwarding the send message to one or more second VIOSes within the VIOS cluster utilizing a kcluster interface. The sending VKE parses at least one of a message header and a sub-header of the send message; and responsive to detection of a broadcast setting for the send message, the VKE forwards the send message to all nodes within the cluster via a cluster broadcast. | 07-12-2012 |
20120179798 | AUTONOMOUS PRIMARY NODE ELECTION WITHIN A VIRTUAL INPUT/OUTPUT SERVER CLUSTER - In a data processing system having a plurality of virtual input/output servers (VIOSes) configured within a VIOS cluster, a method, data processing system and computer program product provide for autonomous election of a primary node within a virtual input/output server (VIOS) cluster. A first VIOS performs the functions of: detecting that a primary node is required for the VIOS cluster; and autonomously initiating an election process to elect a next primary node from among the VIOSes within the VIOS cluster. When the first VIOS meets the pre-established requirements for becoming a primary node, the first VIOS obtains a lock on a primary node ID field within a VIOS database (DB) and then initiates a primary node commit process to assign the first VIOS as the primary node. The first VIOS issues a notification to the VIOS cluster to notify the other VIOSes that a primary node has been elected. | 07-12-2012 |
20120179771 | SUPPORTING AUTONOMOUS LIVE PARTITION MOBILITY DURING A CLUSTER SPLIT-BRAINED CONDITION - A method, data processing system, and computer program product autonomously migrate clients serviced by a first VIOS to other VIOSes in the event of a VIOS cluster “split-brain” scenario generating a primary sub-cluster and a secondary sub-cluster, where the first VIOS is in the secondary sub-cluster. The VIOSes in the cluster continually exchange keep-alive information to provide each VIOS with an up-to-date status of other VIOSes within the cluster and to notify the VIOSes when one or more nodes loose connection to or are no longer communicating with other nodes within the cluster, as occurs with a cluster split-brain event/condition. When this event is detected, a first sub-cluster assumes a primary sub-cluster role and one or more clients served by one or more VIOSes within the secondary sub-cluster are autonomously migrated to other VIOSes in the primary sub-cluster, thus minimizing downtime for clients previously served by the unavailable/uncommunicative VIOSes. | 07-12-2012 |
20120151552 | DOMAIN-BASED ISOLATION AND ACCESS CONTROL ON DYNAMIC OBJECTS - A technique for performing domain-based access control for granular isolation on a data processing system includes assigning, using the data processing system, one or more first domain tags to a dynamic object that is created by a first process that is executing on the data processing system. The technique also includes assigning, using the data processing system, one or more second domain tags to a second process that is executing on the data processing system. The first and second domain tags are evaluated, using the data processing system, according to one or more enforced rules to determine whether to grant or deny the second process access to data associated with the dynamic object. | 06-14-2012 |
20120151297 | Enhanced Coherency Tracking with Implementation of Region Victim Hash for Region Coherence Arrays - A method and system for precisely tracking lines evicted from a region coherence array (RCA) without requiring eviction of the lines from a processor's cache hierarchy. The RCA is a set-associative array which contains region entries consisting of a region address tag, a set of bits for the region coherence state, and a line-count for tracking the number of region lines cached by the processor. Tracking of the RCA is facilitated by a non-tagged hash table of counts represented by a Region Victim Hash (RVH). When a region is evicted from the RCA, and lines from the evicted region still reside in the processor's caches (i.e., the region's line-count is non-zero), the RCA line-count is added to the corresponding RVH count. The RVH count is decremented by the value of the region line count following a subsequent processor cache eviction/invalidation of the region previously evicted from the RCA. | 06-14-2012 |
20120151265 | SUPPORTING CLUSTER LEVEL SYSTEM DUMPS IN A CLUSTER ENVIRONMENT - A method, system, and computer program product provides simultaneous debugging of multiple OS image and/or system dump pairs in a distributed storage repository. A management console receives a terminal debugging session request and a cluster selection from an interface and starts a debugger instance. The debugger instance autonomously identifies client LPARs and loads the system dump images assigned to the client LPARs. In response to receiving a selection of a first and second client LPARs, the debugger analyzes the first and second system dump images, respectively, and calculates relational information between the first analysis and the second analysis via one or more logical reasoning utilities of the management console. The debugger then loads the relational information to the management console interface with an analysis of one or more similarities between the first and second system dumps. | 06-14-2012 |
20120151198 | System and Method for Instantiation of Distributed Applications from Disk Snapshots - A framework instantiates an application from its disk snapshots taken from a different network environment and migrated to a virtualized environment. Modifications to operating systems and hypervisors are avoided, and no special network isolation support is required. The framework is extensible and plug-in based, allowing product experts to provide knowledge about discovering, updating, starting and stopping of software components. This knowledge base is compiled into a plan that executes various interleaved configuration discovery, updates and start tasks such that a required configuration model can be discovered with minimal start and update task execution. The plan generation automatically stitches together knowledge for the various products, thus significantly simplifying the knowledge specification. Once discovery is complete, the framework utilizes the discovered model to update stale network configurations across software stack and customize configurations beyond network settings. | 06-14-2012 |
20120151095 | ENFORCING LOGICAL UNIT (LU) PERSISTENT RESERVATIONS UPON A SHARED VIRTUAL STORAGE DEVICE - A method, system, and computer program product utilizes cluster-awareness to effectively maintain and update Persistent Reserve (PR) state data and provide nodes with notification of changes to PR state data within a Virtual Input/Output (I/O) Server (VIOS) cluster. A Persistent Reserve (PR) utility identifies a database that is accessible to other VIOSes in the cluster, in which database information about the current state of the Persistent Reservation is maintained. The PR utility checks the current Persistent Reserve state in the database to verify whether an initiator of a PR command is allowed to perform the command. If the initiator is allowed to perform the command, the PR utility modifies/updates the Persistent Reserve state in the database to reflect the received Persistent Reserve command. The PR utility updates the initiator's local copy of the modified PR state data and sends a corresponding notification message to other VIOSes in the cluster. | 06-14-2012 |
20120150985 | VIOS Cluster Alert Framework - In a data processing system including a virtual I/O server (VIOS) cluster and multiple logical partitions (LPARs), at least one VIOS of the VIOS cluster performs functions of: receiving first registration information from one or more entities within the VIOS cluster; registering, based on the first registration information, a handler associated with a first message type; responsive to receiving second registration information, registering, based on the second registration information, a listener associated with a second message type; receiving a first message associated with a first alert event of the cluster; determining, based on the first message, that the handler is associated with the first message type; and calling the handler. In one embodiment, the handler is associated with a pointer to a subroutine, and registering the handler includes storing the pointer in a data structure that associates the handler with the first message type. | 06-14-2012 |
20120150816 | METHOD AND TOOL TO OVERCOME VIOS CONFIGURATION VALIDATION AND RESTORATION FAILURE DUE TO DRC NAME MISMATCH - In a Virtual Input/Output (I/O) Server (VIOS) partition, a method comprises a cluster aware (CA) operating system (OS) of a VIOS partition performing the functions of: responsive to a restore command: retrieving a configuration backup file from storage; comparing a DRC name from the retrieved file with a DRC name of the current VIOS partition performing the restore operation; in response to an occurrence of a DRC name mismatch: retrieving an initial UUID of the VIOS from the configuration backup file; accessing a UUID table within a management tool; locating a matching UUID to the initial UUID within the UUID table; and in response to locating the matching UUID: verifying that the configuration data file belongs to the current VIOS initiating the restore operation; and performing the restore operation to restore the configurations of the hardware, logical and virtual devices of the first VIOS to an earlier configuration state. | 06-14-2012 |
20120150815 | EFFICIENT BACKUP AND RESTORE OF VIRTUAL INPUT/OUTPUT SERVER (VIOS) CLUSTER - A method enables cluster-level backup and restore functionality of all Virtual Input/Output Server (VIOS) configuration data within a VIOS cluster and the data of a shared VIOS cluster database. The method comprises: performing, via a backup/restore utility of a VIOS partition, a cluster level backup, which creates a first VIOS cluster configuration backup file having configuration information about hardware, logical and virtual devices of each VIOS partition within a VIOS cluster and all cluster data from the shared VIOS database of the VIOS cluster; storing the VIOS cluster configuration backup file within a storage location; and responsive to receipt of a VIOS restore command at a VIOS partition: retrieving the configuration backup file from the storage location; restoring a configuration of the hardware, logical and virtual devices of each VIOS within the VIOS cluster to prior state; and restoring the shared VIOS database with the backed-up cluster data. | 06-14-2012 |
20120150805 | Efficient Backup and Restore of a Cluster Aware Virtual Input/Output Server (VIOS) Within a VIOS Cluster - In a Virtual Input/Output (I/O) Server (VIOS) partition within a data processing system that comprises cluster-aware VIOSes, a method includes: performing, via a backup/restore utility of a cluster aware (CA) operating system (OS) executing on a processor resource of the first VIOS partition, a backup operation on the first VIOS partition, which creates a first configuration backup file having configuration information about the hardware, logical and virtual devices of the VIOS partition; storing the configuration backup file within local storage; and responsive to receipt of a VIOS restore command: retrieving the configuration backup file from the local storage; and restoring the configuration of the hardware, logical and virtual devices of the first VIOS to a state that existed at a time at which the backup operation creating the configuration backup file was performed. | 06-14-2012 |
20120144405 | Efficient Serialization of Mutable Objects - A method of serialization in a data processing system includes serializing a mutable object utilizing a full serialization process, caching primitive data and metadata regarding the mutable object in binary format in cache and then checking whether primitive fields of the mutable object are modified. Thereafter, the mutable object is again serialized utilizing an abbreviated serialization process by reference to the cached primitive data and metadata if the primitive fields of the object are not modified. In the event that primitive fields of the object are modified, the full serialization process is utilized. Thereafter, the serialized mutable object is communicated to a distributed code element. | 06-07-2012 |
20120144105 | Method and Apparatus for Performing Refresh Operations in High-Density Memories - A method for performing refresh operations is disclosed. In response to a completion of a memory operation, a determination is made whether or not a refresh backlog count is greater than a first predetermined value. In a determination that the refresh backlog count is greater than the first predetermined value, a refresh operation is performed as soon as possible. In a determination that the refresh backlog count is not greater than the first predetermined value, a refresh operation is performed after a delay of an idle count value. | 06-07-2012 |
20120143823 | Database Redistribution Utilizing Virtual Partitions - In some embodiments, a partitioned database is stored in a plurality of logical or physical partitions on at least a logical or physical first data storage node, and a subset of a first partition among the plurality of logical partitions is configured as a virtual partition. An input indicating an allocation of a second physical data storage node to store the partitioned database is received. A second partition is configured on the second data storage node. In response to the input, the partitioned database is redistributed over the first and second data storage nodes by moving data within the virtual partition on the first partition to the second partition. | 06-07-2012 |
20120137363 | Method and Device for Preventing CSRF Attack - The disclosure provides a device and method for preventing CSRF attacks, in which the method comprises: intercepting request sent from a client browser to a server; generating a token; generating a response to the request; inserting the token into the response to the request; and sending the response to the request to the client browser with the token inserted into the response. With the method and device of the disclosure, it is assured that a token is inserted into all the requests made by a user through a client browser for accessing a resource. And it can be assured that the request is issued by the user himself by verifying whether the token in the request is valid, thereby preventing a CSRF attack. | 05-31-2012 |
20120131273 | METHOD AND SYSTEM FOR STORING MEMORY COMPRESSED DATA ONTO MEMORY COMPRESSED DISKS - In a computer system supporting memory compression, wherein memory compressed data is managed in units of memory sectors of size S, wherein data is stored on disk in a different compressed format, and wherein data on said disk is managed in units of disk sectors of size D, a method for storing memory compressed data on a compressed disk includes combining at least one of compressed memory directory information, a system header, compressed data controls, and pads into a data structure having a same size S as a memory sector, grouping the data structure and the data contained in the desired memory sectors into groups of D/S items, and storing each of the groups in a separate disk sector. | 05-24-2012 |
20120110302 | Accelerating Generic Loop Iterators Using Speculative Execution - A method, a system and a computer program product for effectively accelerating loop iterators using speculative execution of iterators. An Efficient Loop Iterator (ELI) utility detects initiation of a target program and initiates/spawns a speculative iterator thread at the start of the basic code block ahead of the code block that initiates a nested loop. The ELI utility assigns the iterator thread to a dedicated processor in a multi-processor system. The speculative thread runs/executes ahead of the execution of the nested loop and calculates indices in a corresponding multidimensional array. The iterator thread adds all the precomputed indices to a single queue. As a result, the ELI utility effectively enables a multidimensional loop to be replaced by a single dimensional loop. At the beginning of (or during) each iteration of the iterator, the ELI utility “dequeues” an entry from the queue to use the entry to access the array upon which the ELI utility iterates. The ELI utility performs concurrent iterations on the array by using the queue entries. | 05-03-2012 |
20120110275 | Supporting Virtual Input/Output (I/O) Server (VIOS) Active Memory Sharing in a Cluster Environment - A method, system, and computer program product provide a shared virtual memory space via a cluster-aware virtual input/output (I/O) server (VIOS). The VIOS receives a paging file request from a first LPAR and thin-provisions a logical unit (LU) within the virtual memory space as a shared paging file of the same storage amount as the minimum required capacity. The VIOS also autonomously maintains a logical redundancy LU (redundant LU) as a real-time copy of the provisioned/allocated LU, where the redundant LU is a dynamic copy of the allocated LU that is autonomously updated responsive to any changes within the allocated LU. Responsive to a second VIOS attempting to read a LU currently utilized by a first VIOS, the read request is autonomously redirected to the logical redundancy LU. The redundant LU can be utilized to facilitate migration of a client LPAR to a different computing electronic complex (CEC). | 05-03-2012 |
20120110274 | Operating System Image Management - In a data processing system including multiple logical partitions (LPARs), an application executes on a first logical partition (LPAR) of the multiple LPARs, where the application uses a first operation system stored in a first memory partition of a shared pool memory of the data processing system. A virtualization management component (a) initiates an update process that quiesces operations of the first LPAR, (b) pages in, via a virtual input/output server coupled to a first paging device, a first image of a second operating system from the first paging device to the shared pool memory; (c) changes one or more pointers associated with the application to point to one or more portions of the second operating system, such that the application uses the second operating system, when resumed; and (b) resumes execution the application. | 05-03-2012 |
20120109664 | OPTIMIZED CUSTOMER TARGETING BASED ON TEMPLATE CRM OFFERS - A Customer Relationship Management (CRM) offer template is established in data storage and includes at least one variable field and having at least one offer attribute. For each of a plurality of customer groups, a data processing system calculates an expected benefit to be obtained by sending an instantiated CRM offer to a customer based upon historical responses, offer cost, and legal values of the at least one variable field. The data processing system outputs a CRM offer instance and target list of customers that maximizes the expected benefit. | 05-03-2012 |
20120102043 | Data Driven Metric for Service Quality - A data processing system establishes a mapping between each of a plurality of plurality of internal data sources within a service organization and a respective one of multiple service quality factors. The data processing system determines a mathematical transformation of internal service quality data obtained from the plurality of internal data sources to obtain a customer satisfaction value. The data processing system estimates and reports a service quality delivered by the service organization by applying the mathematical transformation to at least some of the internal service quality data obtained from the plurality of internal data sources. | 04-26-2012 |
20120084538 | Methodology and Framework for Run-Time Coverage Measurement of Architectural Events of a Microprocessor - A post-silicon testing apparatus, method, and computer program product provide for runtime coverage measurement methodology to measure the architectural events in hardware. Measurement of all architectural events discernable from the instructions and architectural state changes are tracked and recorded. A mechanism to ensure capturing of maskable events is also provided. A feedback driven test-generation approach is enabled by the runtime coverage measurement. The runtime coverage measurement system presents a live view of the comprehensive architectural event coverage to the user/tester. The methodology can be implemented on an operating system environment and also as a standalone/bare-metal tool. | 04-05-2012 |
20120084071 | Mechanism for NPIV Client Recovery When NPIV Server Goes Down - With N_Port ID Virtualization (NPIV), a managed system can be configured so that multiple logical partitions (LPARs) can access independent physical storage through the same physical fibre channel adapter. An NPIV client recovery component of a virtualization management component, such as a Power Hypervisor (pHYP), provides the emulation mapping between server and client virtual fibre channel adapters. The pHYP also provides a mechanism that prevents client partition crashes when the NPIV server (e.g., a VIOS logical partition) goes down. When the NPIV server is rebooted or powers down, the pHYP handles the client LPARs to avoid a crash by removing processing resources from the client logical partition. Thereby, the client logical partition is prevented from attempting to access a root volume group in physical storage via the NPIV server. The pHYP allocates processor resources to the client LPAR when the NPIV server is again available for UO processing. | 04-05-2012 |
20120084028 | Framework and Methodology for a Real-Time Fine-Grained Power Profiling with Integrated Modeling - A method, a system and a computer program product for determining power consumption levels for granular segments of program code in a data processing system. A power profiling utility (PPU) utilizes/comprises a power monitoring module, a power profiler module, a performance profiler and a power modeling component which enables PPU to efficiently characterize power consumption of various types of applications. The PPU uses a power measurement device to obtain power consumption measurements corresponding to execution of a first code segment. Additionally, the PPU identifies information about program characteristics of granular code segments within the first code segment. The PPU then determines total power consumption for execution of the first code segment from an aggregation of power consumption measurements corresponding to all iterations of the first code segment. Ultimately, the PPU derives from the total power consumption calculated for the first code segment a finer grained power profile by using the program characteristics information and power modeling information. | 04-05-2012 |
20120066662 | SYSTEM AND METHOD TO VALIDATE AND REPAIR PROCESS FLOW DRAWINGS - Disclosed is a system and method for validating and repairing flow diagrams using a process modeling validation method in conjunction with a process modeling repair method. The process modeling validation method points out structural ambiguities in a flow diagram that can make its automatic interpretation difficult. It also proposes fix to resolve such ambiguities. The method discloses a process semantic annotation scheme that can interpret process semantics in a flow graph implicit in a flow diagram. Further, the validation process compares one or more components of one or more drawings to a set of rules determined by a business process modeling standards and provides a set of semantic errors describing faulty parts of the drawing that do not comply with the business process modeling standards. The process modeling repair method corrects the drawing to ease and enable its automatic interpretation of the flow diagram and make the faulty parts of the drawing comply with the business process modeling standards. | 03-15-2012 |
20120036110 | Automatically Reviewing Information Mappings Across Different Information Models - A computer-implemented method, system, and program product for automatically reviewing a mapping between information models. The method includes: receiving a mapping between an element in the first information model to an element in the second information model. Each element is associated with an element identifier and an element value, and the mapping signifies a relationship between the element in the first information model and the element in the second information model. The method further includes comparing the received mapping against one or more known indications of suspicious mappings to determine if the received mapping resembles one of the indications of suspicious mappings. If the received mapping is determined to be suspicious, identifying the received mapping as one that requires review. | 02-09-2012 |
20120030439 | Data Sharing for File Clones Using Reverse Ditto References - A method, system, and computer program product for creating and implementing file clones using reverse ditto references. A clone inode is created as a copy of an original inode. The clone inode and the original inode are indistinguishable to an end user. Each additional file clone created spawns a clone inode. An immutable clone-parent inode is created that contains the disk block addresses, while writable clones inode instead contain dittos linking the clone inode to data blocks referenced in the clone-parent inode. Data block address links in the original inode are moved to the new clone-parent inode and dittos replace the original data block address links in the original inode. When a clone file is updated, the new data is written to a new disk location and a corresponding ditto in the clone inode is replaced with a data block link address, keeping the data of the clone-parent inode intact. | 02-02-2012 |
20120023302 | Concurrent Atomic Operations with Page Migration in PCIe - A method and data processing system enables scheduling of atomic operations within a Peripheral Component Interconnect Express (PCIe) architecture during page migration. In at least one embodiment, firmware detects the activation of a page migration operation and sets a migration bit in the page table. When the PCIe Host Bridge (PHB) receives an atomic operation, the PHB checks the migration bit associated with the memory page targeted by the atomic operation and if the migration bit is set, the PHB buffers the atomic operation and sets an atomic operation stall (AOS) bit associated with the buffer. The atomic operation is stalled until the migration bit is reset, at which time the PHB resets the AOS bit of the buffer. The atomic operations are permitted to continue when the migration bit of the target memory page is not set, and along with DMA operations, may bypass other stalled atomic operations. | 01-26-2012 |
20120023280 | Atomic Operations with Page Migration in PCIe - A method and data processing system enables scheduling of atomic operations within a Peripheral Component Interconnect Express (PCIe) architecture during page migration. In at least one embodiment, firmware detects the activation of a page migration operation. The firmware notifies the I/O host bridge, which responds by setting an atomic operation stall (AOS) bit to a pre-established value that indicates that there is an ongoing migration within the memory subsystem of a memory page that is mapped to that I/O host bridge. When the AOS bit is set to the pre-established value, the I/O host bridge prevents/stalls any received atomic operations from completing. The I/O host bridge responds to receipt of receipt of an atomic operation by preventing the atomic operation from being initiated within the memory subsystem, when the AOS bit is set to the pre-established value. The AOS bit is reset when the migration operation has completed. | 01-26-2012 |
20120016885 | ADAPTIVE AND PERSONALIZED TAG RECOMMENDATION - Embodiments of the invention relate to techniques for personalized tag recommendation for enterprise social bookmarking systems. According to one embodiment of the invention, a method comprises computing at least one set of candidate tags for a document in accordance with at least one factor, and combining the at least one set of candidate tags from different factors into an overall recommendation list of candidate tags. At least one suggested tag from the overall recommendation list is returned as a result of the combination. At least one applied tag that is applied to the document by a user of a computer system is recorded, and the combination is adjusted based on the at least one applied tag. | 01-19-2012 |
20120005448 | Demand-Based Memory Management of Non-pagable Data Storage - Management of a UNIX-style storage pools is enhanced by specially managing one or more memory management inodes associated with pinned and allocated pages of data storage by providing indirect access to the pinned and allocated pages by one or more user processes via a handle, while preventing direct access of the pinned and allocated pages by the user processes without use of the handles; scanning periodically hardware status bits in the inodes to determine which of the pinned and allocated pages have been recently accessed within a pre-determined period of time; requesting via a callback communication to each user process to determine which of the least-recently accessed pinned and allocated pages can be either deallocated or defragmented and compacted; and responsive to receiving one or more page indicators of pages unpinned by the user processes, compacting or deallocating one or more pages corresponding to the page indicators. | 01-05-2012 |
20120005142 | FAST ADAPTATION IN REAL-TIME SYSTEMS - According to one embodiment of the present invention, a method for online convex optimization is provided. The method includes performing a step that relies on the selection of x at a time t (x | 01-05-2012 |
20110310964 | ECHOCARDIOGRAM VIEW CLASSIFICATION USING EDGE FILTERED SCALE-INVARIANT MOTION FEATURES - According to one embodiment of the present invention, a method for echocardiogram view classification is provided. According to one embodiment of the present invention, a method comprises: obtaining a plurality of video images of a subject; aligning the plurality images; using the aligned images to generate a motion magnitude image; filtering the motion magnitude image using an edge map on image intensity; detecting features on the motion magnitude image, retaining only those features which lie in the neighborhood of intensity edges; encoding the remaining features by generating, x, y image coordinates, a motion magnitude histogram in a window around the feature point, and a histogram of intensity values near the feature point; and using the encoded features to classify the video images of the subject into a predetermined classification. | 12-22-2011 |
20110295995 | METHOD AND APPARATUS FOR CONTROLLING LOAD ADMISSION RATE OF AN APPLICATION SERVER - A method and system for controlling load admission rate of an application server is provided. In the method, actual heap utilization and load admission rate of the application server in current control cycle are detected; based on the detected actual heap utilization and load admission rate, load characteristics variation parameters of the application server are estimated; and control gain of a feedback controller is calculated based on the load characteristics variation parameters; the feedback controller calculates desired load admission rate of the application server in next control cycle by using the calculated control gain. The load admission rate of the application server can be adaptively controlled by tracking changes in workload characteristics of the application server, such that the actual heap utilization of the application server is maintained at or close to target heap utilization. | 12-01-2011 |
20110270770 | CUSTOMER PROBLEM ESCALATION PREDICTOR - The likelihood of a problem report being escalated to a critical status in a customer service environment is predicted by receiving historical Problem Management Records for which associated problems have been resolved and final criticality statuses have been determined, analyzing the historical Problem Management Records using at least one trainable data mining process to produce a prediction output for each historical Problem Management Record, validating the prediction output against the final criticality statuses, training the data mining process according to the validation, and, subsequently, analyzing an unresolved Problem Management Record by the trained analysis module to produce a prediction indicator and a confidence indicator for unresolved Problem Management Record to be re-classified as critical status. The unresolved Problem Management Record is escalated to critical status level responsive to the prediction indicator and the confidence indicator exceeding a predetermined threshold. | 11-03-2011 |
20110261259 | Controlling One or More Attributes of a Secondary Video Stream for Display in Combination with a Primary Video Stream - A method controls one or more attributes of a secondary video stream for display in combination with a primary video stream. The method comprises: identifying a primary video stream; identifying a secondary video stream; assigning one or more settings for one or more attributes of said secondary video stream to a predetermined set of one or more user identifiers; identifying the user identifier associated with a given video processing module; determining the one or more attribute settings assigned to the user identifier; and processing the secondary video stream for display in combination with the primary video stream in accordance with the one or more attribute settings for the user identifier. | 10-27-2011 |
20110246482 | AUGMENTED AND CROSS-SERVICE TAGGING - According to one embodiment of the present invention, a method for cross-service tagging is provided. The method includes creating connections to multiple applications, each application having multiple entities. Relationships are determined between the entities within a single application and between entities across multiple applications. A tag is associated with a selected one of the entities in a first one of the multiple applications. Entities in other applications besides the first application are identified that are related to the selected entity, based on the determined relationships. The tag is propagated across multiple applications by associating the tag with the identified entities in the other applications. According to a second embodiment of the present invention, a method for inferring tags suggestions is provided. This method includes analyzing email messages to create keywords from text analysis, from bringing content and tags from other email messages or directory applications. Tags suggestions from the various sources are merged and weighed according to an algorithm. | 10-06-2011 |
20110185361 | Interdependent Task Management - An illustrative embodiment of a computer-implemented process for interdependent task management selects a task from an execution task dependency chain to form a selected task, wherein a type selected from a set of types including “forAll,” “runOnce” and none is associated with the selected task and determines whether there is a “forAll” task. Responsive to a determination that there is no “forAll” task, determines whether there is a “runOnce” task and responsive to a determination that there is a “runOnce” task further determines whether there is a semaphore for the selected task. Responsive to a determination that there is a semaphore for the selected task, the computer-implemented process determines whether the semaphore is “on” for the selected task and responsive to a determination that the semaphore is “on,” sets the semaphore “off” and executes the selected task. | 07-28-2011 |
20110185132 | METHOD AND SYSTEM FOR STORING MEMORY COMPRESSED DATA ONTO MEMORY COMPRESSED DISKS - In a computer system supporting memory compression and wherein data is stored on a disk in a different compressed format, and wherein an IOA (input/output adaptor)/IOP (input/output processor) selectively reads from and writes to a main memory through a direct memory access (DMA) operation, a method for transmitting compressed data from the IOA/IOP to the main memory includes reserving a set of free memory sectors to contain the data in said main memory, sending to the IOA/IOP addresses of said memory sectors, copying the data from the IOA/IOP to said memory sectors using said DMA operation, constructing at the IOA/IOP compressed memory directory information defining how and where the data is stored in memory, sending the memory directory information to a memory controller, and storing the memory directory information in the compressed memory directory structure. | 07-28-2011 |
20110179197 | METHOD AND SYSTEM FOR STORING MEMORY COMPRESSED DATA ONTO MEMORY COMPRESSED DISKS - A method of transmitting compressed data from a main memory to an input/output adaptor (IOA)/input/output processor (IOP), includes sending compressed memory directory information to the IOA/IOP and copying a content of the memory to the IOA/IOP using a direct memory access (DMA) operation, without decompressing the data. | 07-21-2011 |
20110170266 | 4D DEVICE PROCESS AND STRUCTURE - a 4D device comprises a 2D multi-core logic and a 3D memory stack connected through the memory stack sidewall using a fine pitch T&J connection. The 3D memory in the stack is thinned from the original wafer thickness to no remaining Si. A tounge and groove device at the memory wafer top and bottom surfaces allows an accurate stack alignment. The memory stack also has micro-channels on the backside to allow fluid cooling. The memory stack is further diced at the fixed clock-cycle distance and is flipped on its side and re-assembled on to a template into a pseudo-wafer format. The top side wall of the assembly is polished and built with BEOL to fan-out and use the T&J fine pitch connection to join to the 2D logic wafer. The other side of the memory stack is polished, fanned-out, and bumped with C4 solder. The invention also comprises a process for manufacturing the device. In another aspect, the invention comprises a 4D process and device for over 50× greter than 2D memory density per die and an ultra high density memory. | 07-14-2011 |
20110161976 | METHOD TO REDUCE QUEUE SYNCHRONIZATION OF MULTIPLE WORK ITEMS IN A SYSTEM WITH HIGH MEMORY LATENCY BETWEEN PROCESSING NODES - A method efficiently dispatches/completes a work element within a multi-node, data processing system that has a global command queue (GCQ) and at least one high latency node. The method comprises: at the high latency processor node, work scheduling logic establishing a local command/work queue (LCQ) in which multiple work items for execution by local processing units can be staged prior to execution; a first local processing unit retrieving via a work request a larger chunk size of work than can be completed in a normal work completion/execution cycle by the local processing unit; storing the larger chunk size of work retrieved in a local command/work queue (LCQ); enabling the first local processing unit to locally schedule and complete portions of the work stored within the LCQ; and transmitting a next work request to the GCQ only when all the work within the LCQ has been dispatched by the local processing units. | 06-30-2011 |
20110161975 | REDUCING CROSS QUEUE SYNCHRONIZATION ON SYSTEMS WITH LOW MEMORY LATENCY ACROSS DISTRIBUTED PROCESSING NODES - A method for efficient dispatch/completion of a work element within a multi-node data processing system. The method comprises: selecting specific processing units from among the processing nodes to complete execution of a work element that has multiple individual work items that may be independently executed by different ones of the processing units; generating an allocated processor unit (APU) bit mask that identifies at least one of the processing units that has been selected; placing the work element in a first entry of a global command queue (GCQ); associating the APU mask with the work element in the GCQ; and responsive to receipt at the GCQ of work requests from each of the multiple processing nodes or the processing units, enabling only the selected specific ones of the processing nodes or the processing units to be able to retrieve work from the work element in the GCQ. | 06-30-2011 |
20110161970 | METHOD TO REDUCE QUEUE SYNCHRONIZATION OF MULTIPLE WORK ITEMS IN A SYSTEM WITH HIGH MEMORY LATENCY BETWEEN COMPUTE NODES - Disclosed are a method, a system and a computer program product of operating a data processing system that can include or be coupled to multiple processor cores. The multiple processor cores can be coupled to a memory that can include multiple priority queues associated with multiple respective priorities and store multiple work items. Work items stored in the multiple priority queues can be associated with a bit mask which is associated with a respective priority queue and can be routed to respective groups of one or more processors based on the associated bit mask. In one or more embodiments, at least two groups of processor cores can include at least one processor core that is common to both of the at least two groups of processor cores. | 06-30-2011 |
20110161943 | METHOD TO DYNAMICALLY DISTRIBUTE A MULTI-DIMENSIONAL WORK SET ACROSS A MULTI-CORE SYSTEM - A method provides efficient dispatch/completion of an N Dimensional (ND) Range command in a data processing system (DPS). The method comprises: a compiler generating one or more commands from received program instructions; ND Range work processing (WP) logic determining when a command generated by the compiler will be implemented over an ND configuration of operands, where N is greater than one (1); automatically decomposing the ND configuration of operands into a one (1) dimension (1D) work element comprising P sequentially ordered work items that each represent one of the operands; placing the 1D work element within a command queue of the DPS; enabling sequential dispatching of 1D work items in ordered sequence from to one or more processing units; and generating an ND Range output by mapping the 1D work output result to an ND position corresponding to an original location of the operand represented by the 1D work item. | 06-30-2011 |
20110161734 | PROCESS INTEGRITY IN A MULTIPLE PROCESSOR SYSTEM - Disclosed are a method, a system and a computer program product of operating a data processing system that can include or be coupled to multiple processor cores. In one or more embodiments, an error can be determined while two or more processor cores are processing a first group of two or more work items, and the error can be signaled to an application. The application can determine a state of progress of processing the two or more work items and at least one dependency from the state of progress. In one or more embodiments, a second group of two or more work items that are scheduled for processing can be unscheduled, in response to determining the error. In one or more embodiments, the application can process at least one work item that caused the error, and the second group of two or more work items can be rescheduled for processing. | 06-30-2011 |
20110161608 | METHOD TO CUSTOMIZE FUNCTION BEHAVIOR BASED ON CACHE AND SCHEDULING PARAMETERS OF A MEMORY ARGUMENT - Disclosed are a method, a system and a computer program product of operating a data processing system that can include or be coupled to multiple processor cores. In one or more embodiments, each of multiple memory objects can be populated with work items and can be associated with attributes that can include information which can be used to describe data of each memory object and/or which can be used to process data of each memory object. The attributes can be used to indicate one or more of a cache policy, a cache size, and a cache line size, among others. In one or more embodiments, the attributes can be used as a history of how each memory object is used. The attributes can be used to indicate cache history statistics (e.g., a hit rate, a miss rate, etc.). | 06-30-2011 |
20110154271 | Optical Proximity Correction for Improved Electrical Characteristics - A method, computer program product, and data processing system for performing an improved optical proximity correction are disclosed, which better respect the electrical properties of the device being manufactured. A preferred embodiment of the present invention performs OPC by first dividing the perimeter of a mask region into a plurality of segments, then grouping the segments into at least two distinct groups, wherein segments in the first of these groups are adjusted in position so as to minimize edge placement error (EPE) when the photolithography using the mask is simulated. Segments in the second group are adjusted in position so as to minimize cumulative error in a dimension spanning the region, wherein the span of such dimension extends from segments in the first group to segments in the second group. Correction so obtained by this process more readily preserves the intended electrical behavior of the original device design. | 06-23-2011 |
20110149978 | NETWORK SYSTEM USING PATH HEALTH INFORMATION FOR PATH SELECTION - A network system employs path health information to select an acceptable path between a source node and destination node within an information handling system (IHS) and/or between a source node within one IHS and a destination node within another IHS. One or more switches may connect these two IHSs together. The network system accesses the path health information to determine the availability of paths for communicating between nodes. | 06-23-2011 |
20110145471 | METHOD FOR EFFICIENT GUEST OPERATING SYSTEM (OS) MIGRATION OVER A NETWORK - A method, data processing system and computer program product enables efficient transfer of a virtual machine from a first data processing system (DPS) to a second DPS using a combination of Transmission Control Protocol (TCP) and Uniform Data Protocol (UDP). A virtual machine migration (VMM) utility identifies all memory pages of the first virtual machine. The VMM utility notifies the second DPS via TCP of the scheduled transfer of the virtual machine. The VMM utility copies and transfers the memory pages of the virtual machine to the second DPS via UDP. When all expected components of the virtual machine are not received by the second DPS and/or memory data is modified within the memory pages during the migration, the VMM utility combines the missing data and the modified data and transfers the final components of the virtual machine using TCP. Execution of the virtual machine resumes on the second DPS. | 06-16-2011 |
20110132650 | High-Speed Ceramic Modules with Hybrid Referencing Scheme for Improved Performance and Reduced Cost - A multi-layered ceramic package comprises: a signal layer with identified chip/device area(s)/site(s) that require a supply of power; and a voltage power (Vdd) layer and a ground (Gnd) layer disposed on opposite sides directly above or below (adjacent to) the signal layer and providing a first reference mesh plane and a second reference mesh plane configured utilizing a hybrid mesh scheme. The hybrid mesh scheme comprises different mesh configurations from among: a full dense mesh in a first area directly above or below the identified chip/device area(s); a half dense mesh in a second area that is above or below the edge(s) of the chip/device area; and a wider mesh pitch in all other areas, and the Vdd traces are aligned to run parallel and adjacent to signal lines in those other areas. Wider traces are provided within the mesh areas that run parallel and adjacent to signal lines. | 06-09-2011 |
20110119315 | Generating a Statistical Tree for Encoding/Decoding an XML Document - A method and apparatus for generating a statistical tree representing an extensible markup language Schema (XSD) is disclosed. Components of the XSD are prioritized according to predefined rules. A root node representing said XSD is created. Pairs of child nodes are generated from the root node. Each pair comprises at least one genuine node, and each pair of generated child nodes is appended to a parent node which is a genuine node. The path to each of said child nodes from a respective parent genuine node is represented with a binary sequence. At least one genuine node is allocated to a corresponding component of said XSD, the allocation being based on the prioritization of the component. Methods, apparatus and computer program products for generating a statistical tree representing an extensible markup language Schema (XSD), for encoding an extensible markup language (XML) document utilizing a statistical tree representing an extensible markup language Schema (XSD), and for decoding an XML document represented by a binary encoded sequence also are disclosed. | 05-19-2011 |
20110099510 | SYSTEM AND METHOD FOR SCROLLING AMONG CATEGORIES IN A LIST OF DOCUMENTS - A system and method is provided to assist a user in selecting, identifying, and handling email messages. A selection color module may provide for the display of color coding and selection highlighting. The selection color module may provide a secondary highlighting color to indicate messages related to a selected message. A category navigation module may provide one or more of the following: an indicator that provides the user with a number of items that are off the screen for a particular category, a command that causes the first entry for a category to be scrolled into view, a label to indicate the number of items in a particular category matching a particular criteria, and/or a control for scrolling between next or previous categories. | 04-28-2011 |
20110078709 | Distributed Management of Native Interface Metadata and Arrays - An illustrative embodiment provides a computer-implemented process for distributed management of native interface arrays. The computer-implemented process obtains an array type native interface call in a first system from a caller in a second system, identifies an array type of the array type native interface call to form an identified array type, and requests array elements associated with the identified array type to form requested array elements, wherein added metadata is associated with the requested array elements. The computer-implemented process builds an enhanced data structure using the requested array elements and the associated added metadata and returns a pointer within the enhanced data structure to the caller. The pointer can be used by the caller to manipulate array data of the array elements, and the first system can calculate an address of the added metadata in constant time from the pointer. | 03-31-2011 |
20110066831 | SYSTEM AND METHOD FOR SOFTWARE INITIATED CHECKPOINT OPERATIONS - A method, system and computer program product for issuing one or more software initiated operations for creating a checkpoint of a register file and memory, and for restoring a register file and memory to the checkpointed state. At the execution of a checkpoint operation, the system returns a condition code indicating success or failure. When the condition code is set equal to one, one or more checkpoints are initiated. Contents of the register file and gated store buffer are stored each time the one or more checkpoints are initiated. When the checkpoint is created, the system notifies software when a hardware checkpoint capacity has been reached. One or more of the software checkpoint, hardware checkpoint, and handler checkpoint are utilized to provide a more precise point of restoration. During software execution, the register file and gated store buffer can be restored as defined by the one or more previous checkpoints. | 03-17-2011 |
20110066820 | OVERFLOW HANDLING OF SPECULATIVE STORE BUFFERS - A method, a system and a computer program product for handling speculative stores. The system determines when a speculative store buffer is not full. An indicator is generated when the speculative store buffer is not full, and the speculative stores are input into the speculative store buffer. When the speculative store buffer is full, a full buffer indicator is generated. Speculative stores prevented from entering the speculative store buffer are overflow stores. The overflow list is searched to determine whether one or more addresses of the overflow stores are present in the overflow list. When one or more addresses of the overflow stores are not present in the overflow list, the overflow stores are stored in the overflow list. | 03-17-2011 |
20110062546 | STRUCTURE AND METHOD TO MINIMIZE REGROWTH AND WORK FUNCTION SHIFT IN HIGH-K GATE STACKS - The present invention provides a semiconductor structure comprising high-k material portions that are self-aligned with respect to the active areas in the semiconductor substrate and a method of fabricating the same. The high-k material is protected from oxidation during the fabrication of the semiconductor structure and regrowth of the high-k material and shifting of the high-k material work function is prevented. | 03-17-2011 |
20110061052 | METHOD AND SYSTEM USING A TEMPORARY OBJECT HANDLE - A method and system are provided for using a temporary object handle. A method at a resource manager includes: receiving an open temporary handle request from an application for a resource object, wherein a temporary handle can by asynchronously invalidated by the resource manager at any time; and creating a handle control block at the resource manager for the object, including an indication that the handle is a temporary handle. The method then includes: responsive to receiving a request from an application to use a handle, which has been invalidated by the resource manager, sending a response to the application that the handle is invalidated. | 03-10-2011 |
20110054879 | Accelerated Execution for Emulated Environments - The illustrative embodiments provide a computer implemented method, apparatus, and computer program product for accelerating execution of a program, written in an object oriented programming language, in an emulated environment. In response to receiving a request for an accelerated communications session from a guest virtual machine in the emulated environment, a native virtual machine is initiated external to the emulated environment but within the computing device hosting the emulated environment. Thereafter, an accelerated communications link is established between the guest virtual machine and the native virtual machine. The accelerated communications link enables a transfer of managed code between the guest virtual machine and the native virtual machine. The managed code is then executed by the native virtual machine. | 03-03-2011 |
20110023007 | Associating Workflows With Code Sections In A Document Control System - Systems, methods, and articles of manufacture for facilitating workflow control for a document. In one embodiment, a portion of computer program source code is associated with a workflow. The computer program is monitored for reference to or by the portion of the computer program source code. In response to a reference to or by the computer program source code, the workflow is fired off. | 01-27-2011 |
20110016153 | System and Method for Parallel Processing - A method for parallel processing of data organized in the form of a tree including: converting the tree into a linear array structure including a data array for storing the data of said tree and three reference arrays; determining partial workloads from the linear array structure obtained at step a; and performing parallel processing of said partial workloads. Each entry in the data array corresponding to a given node in the tree and includes three pointers. The pointers each point to a respective one of the reference arrays: a first pointer identifies the parent node of the given node, a second pointer identifies the first child node of the given node, and a third pointer identifies the sibling node of the given node. | 01-20-2011 |
20110010629 | SELECTIVELY DISTRIBUTING UPDATES OF CHANGING IMAGES TO CLIENT DEVICES - A network server for sharing images with mobile electronic devices having one or more processors, and image sharing client application, and an image distribution application. The image sharing client application is to be executed on one or more of the processors to receive an update to an image being shared over a network with mobile electronic devices that each have their own current zoom level and their own currently visible area of the image. The image distribution application is to be executed on one or more of the processors to keep a record of the current zoom level and currently visible area for each of the plurality of mobile electronic devices and to selectively send image updates to the plurality of mobile electronic devices based on the current zoom level and currently viewable area of the mobile electronic devices. | 01-13-2011 |
20110007418 | COMBINING INFORMATION FROM PARALLEL SERVO CHANNELS - A weighted combining scheme exploits information from two servo channels operating in parallel. A timing-based servo module comprises two synchronous servo channels coupled respectively to receive two digital servo signals read from a data tape. Both channels have outputs for an unweighted parameter estimate and for a measure of the channel reliability. A weight computation module provides first and second weight signals using the measures of channel reliability from the servo channels. An offset computation module provides first and second offset terms which are summed with the unweighted parameter estimates. Multiplying nodes receive the unweighted parameter estimates and the weight signals and outputs offset weighted parameter estimates. A summing node receives the offset weighted parameter estimates and outputs a combined offset weighted parameter estimate to a servomechanism. | 01-13-2011 |
20100328803 | COMBINING INFORMATION FROM PARALLEL SERVO CHANNELS - A weighted combining scheme exploits information from two servo channels operating in parallel. A timing-based servo module comprises two synchronous servo channels coupled respectively to receive two digital servo signals read from a data tape. Both channels have outputs for an unweighted parameter estimate and for a measure of the channel reliability. A weight computation module provides first and second weight signals using the measures of channel reliability from the servo channels. An offset computation module provides first and second offset terms which are summed with the unweighted parameter estimates. Multiplying nodes receive the unweighted parameter estimates and the weight signals and outputs offset weighted parameter estimates. A summing node receives the offset weighted parameter estimates and outputs a combined offset weighted parameter estimate to a servomechanism. | 12-30-2010 |
20100325158 | SYSTEM AND METHOD FOR AUTOMATICALLY SEARCHING FOR DOCUMENTS RELATED TO CALENDAR AND EMAIL ENTRIES - A system and method are provided for enabling a user to search for documents that the user has previously viewed on its local machine. The system includes three main components: the desktop integration module, the index module, and the graphical user interface module. The desktop integration module is an application which monitors documents with which the user interacts for predetermined events, and obtains content data and metadata from the monitored documents. The index module indexes the content data and metadata received from the desktop integration module. The graphical user interface module then permits a user to utilize the desktop integration module and index module by allowing a user to search for a document. | 12-23-2010 |
20100309734 | METHOD, SYSTEM, COMPUTER PROGRAM PRODUCT, AND DATA PROCESSING DEVICE FOR MONITORING MEMORY CIRCUITS AND CORRESPONDING INTEGRATED CIRCUIT - An improved method monitors memory circuits, especially those used in integrated circuits. The method provides: writing random data in at least one monitor cell, which is implemented as a regular memory cell with an artificially deteriorated stability in order to provoke early fails when compared to fails in a regular memory cell; reading the random data out of the at least one monitor cell; comparing the output data of the read operation against an expected value to detect a value mismatch; and reporting the value mismatch to an error structure if the value mismatch is detected. | 12-09-2010 |
20100309223 | EFFICIENT MEMORY MANAGEMENT FOR PATH DETERMINATION IN MEMORY SPACE LIMITED PARALLEL PROCESSING SYSTEMS - The present invention relates to a method for processing data entities by a data processing system, wherein: a first and a second set of data entities are stored in a main memory and associated with a respective first and second set of points of a domain; the first set of data entities is loaded into a local storage; one or more first calculations are performed using the first set of data entities to generate first calculated data; the second set of data entities is determined according to at least some of the first calculated data; the determined second set of data entities is loaded into the local storage; and one or more second calculations are performed using the second set of data entities resulting in second calculated data. | 12-09-2010 |
20100306596 | METHOD OF ASCERTAINING PRIMARY CAUSE OF MEMORY CONSUMPTION IN PROGRAM, AND COMPUTER SYSTEM AND COMPUTER PROGRAM FOR THE SAME - A method of holding information for identifying a cause for an object becoming problematic and presenting the information to a user. The method ascertains the cause of memory consumption by a program in a computer system. This method includes: acquiring a first call path related to the creation of an object from a memory; acquiring a second call path related to the connection to the object from the memory; and determining a common part of the acquired first and second call paths, wherein the common part indicates the cause in the program. | 12-02-2010 |
20100306207 | METHOD AND SYSTEM FOR TRANSFORMING XML DATA TO RDF DATA - A method for transforming Extensible Markup Language (XML) data to Resource Description Framework (RDF) data. The method includes the steps of: receiving a predefined mapping file; retrieving the correspondences between XML elements and/or attributes in the XML data and/or properties and concepts of the RDF data as specified by the mapping file, wherein the correspondence is represented by elements of the mapping file; processing elements of the mapping file to obtain XML elements and/or attributes and generate corresponding RDF resources; and generating the RDF data by using the generated RDF resources. A corresponding transformation engine apparatus is configured to perform the foregoing method. | 12-02-2010 |
20100293328 | MANAGING FIRST LEVEL STORAGE IN A MULTI-HOST ENVIRONMENT - A virtual tape server (VTS) and a method for managing shared first level storage, such as a disk cache, among multiple virtual tape servers are provided. Such a system and method manage first level storage to accommodate two or more host processing systems by maintaining adequate free space in the cache for each host and by preventing one host, such as a mainframe, from taking over free space from another host, such as a Linux system. | 11-18-2010 |
20100268895 | INFORMATION HANDLING SYSTEM WITH IMMEDIATE SCHEDULING OF LOAD OPERATIONS - An information handling system (IHS) includes a processor with a cache memory system. The processor includes a processor core with an L1 cache memory that couples to an L2 cache memory. The processor includes an arbitration mechanism that controls load and store requests to the L2 cache memory. The arbitration mechanism includes control logic that enables a load request to interrupt a store request that the L2 cache memory is currently servicing. When the L2 cache memory finishes servicing the interrupting load request, the L2 cache memory may return to servicing the interrupted store request at the point of interruption. | 10-21-2010 |
20100268890 | INFORMATION HANDLING SYSTEM WITH IMMEDIATE SCHEDULING OF LOAD OPERATIONS IN A DUAL-BANK CACHE WITH SINGLE DISPATCH INTO WRITE/READ DATA FLOW - An information handling system (IHS) includes a processor with a cache memory system. The processor includes a processor core with an L1 cache memory that couples to an L2 cache memory. The processor includes an arbitration mechanism that controls load and store requests to the L2 cache memory. The arbitration mechanism includes control logic that enables a load request to interrupt a store request that the L2 cache memory is currently servicing. The L2 cache memory includes dual data banks so that one bank may perform a load operation while the other bank performs a store operation. The cache system provides a single dispatch point into the data flow to the dual cache banks of the L2 cache memory. | 10-21-2010 |
20100268887 | INFORMATION HANDLING SYSTEM WITH IMMEDIATE SCHEDULING OF LOAD OPERATIONS IN A DUAL-BANK CACHE WITH DUAL DISPATCH INTO WRITE/READ DATA FLOW - An information handling system (IHS) includes a processor with a cache memory system. The processor includes a processor core with an L1 cache memory that couples to an L2 cache memory. The processor includes an arbitration mechanism that controls load and store requests to the L2 cache memory. The arbitration mechanism includes control logic that enables a load request to interrupt a store request that the L2 cache memory is currently servicing. The L2 cache memory includes dual data banks so that one bank may perform a load operation while the other bank performs a store operation. The cache system provides dual dispatch points into the data flow to the dual cache banks of the L2 cache memory. | 10-21-2010 |
20100268883 | Information Handling System with Immediate Scheduling of Load Operations and Fine-Grained Access to Cache Memory - An information handling system (IHS) includes a processor with a cache memory system. The processor includes a processor core with an L1 cache memory that couples to an L2 cache memory. The processor includes an arbitration mechanism that controls load and store requests to the L2 cache memory. The arbitration mechanism includes control logic that enables a load request to interrupt a store request that the L2 cache memory is currently servicing. When the L2 cache memory finishes servicing the interrupting load request, the L2 cache memory may return to servicing the interrupted store request at the point of interruption. The control logic determines the size requirement of each load operation or store operation. When the cache memory system performs a store operation or load operation, the memory system accesses the portion of a cache line it needs to perform the operation instead of accessing an entire cache line. | 10-21-2010 |
20100268522 | MODELING FULL AND HALF CYCLE CLOCK VARIABILITY - A modeling system includes a processor with software that performs static timing analysis (STA) on a design model. STA software executes a static timing analysis (STA) run with shortened clock cycles to model full cycle clock variability. Designers or other entities interpret the results of the shortened STA run data by performing modeling on the output data to generate slack data for design model data paths. STA software executes an STA run with an extended clock cycle to automatically separate half cycle data path (HCDP) slack data from full cycle data path (FCDP) slack data. The full and half cycle clock variability method may automatically adjust slack data for all half cycle data paths (HCDP)s to account for the additional half cycle variation (AHCV) and half cycle clock edge variability that may penalize the design model results in a real hardware implementation. Designers use a sort of slack data for half cycle data paths (HCDP)s independent of the slack data for the full cycle data path (FCDP)s to modify or otherwise perform design changes to the design model prior to hardware implementation. | 10-21-2010 |
20100263855 | ENVIRONMENTAL CONTROL OF LIQUID COOLED ELECTRONICS - A method, system, and computer program product are provided for controlling liquid-cooled electronics, which includes measuring a first set point temperature, T | 10-21-2010 |
20100251072 | DETECTION AND CORRECTION OF DROPPED WRITE ERRORS IN A DATA STORAGE SYSTEM - A RAID system is provided for detecting and correcting dropped writes in a storage system. Data and a checksum are written to a storage device, such as a RAID array. The state of the data is classified as being in a “new data, unconfirmed” state. The state of written data is periodically checked, such as with a timer. If the data is in the “new data, unconfirmed” state, it is checked for a dropped write. If a dropped write has occurred, the state of the data is changed to a “single dropped write confirmed” state and the dropped write error is preferably corrected. If no dropped write is detected, the state is changed to a “confirmed good” state. If the data was updated through a read-modified-write prior to being checked for a dropped write event, its state is changed to an “unquantifiable” state. | 09-30-2010 |
20100235816 | DATA-DRIVEN TESTING WITHOUT DATA CONFIGURATION - In software development, the provision of a testing tool which includes a method for defining a data source dynamically during an execution run, instead of programming such a definition within test script. | 09-16-2010 |
20100235738 | PRODUCT LIMITATIONS ADVISORY SYSTEM - A system that automatically prompts a computer user about a known limitation of a product component, such as a software component. Generally, there is contemplated herein a method including providing a physical computing device, running software in the physical computing device, detecting whether the software has a known limitation, and automatically providing an advisory responsive to detecting a known software limitation. | 09-16-2010 |
20100226039 | COMBINING INFORMATION FROM PARALLEL SERVO CHANNELS - A weighted combining scheme exploits information from two servo channels operating in parallel. A timing-based servo module comprises two synchronous servo channels coupled respectively to receive two digital servo signals read from a data tape. Both channels have outputs for an unweighted parameter estimate and for a measure of the channel reliability. A weight computation module provides first and second weight signals using the measures of channel reliability from the servo channels. An offset computation module provides first and second offset terms which are summed with the unweighted parameter estimates. Multiplying nodes receive the unweighted parameter estimates and the weight signals and outputs offset weighted parameter estimates. A summing node receives the offset weighted parameter estimates and outputs a combined offset weighted parameter estimate to a servomechanism. | 09-09-2010 |
20100226037 | COMBINING INFORMATION FROM PARALLEL SERVO CHANNELS - A weighted combining scheme exploits information from two servo channels operating in parallel. A timing-based servo module servo module comprises two servo channels coupled respectively to receive two digital servo signals read from a data tape. Both channels have outputs for an unweighted metric and for a measure of the channel reliability. A weight computation module provides first and second weight signals using the measures of channel reliability from the servo channels. A first multiplying node receives a first unweighted metric and a first weight signal and is operable to output a first weighted metric. A second multiplying node receives a second unweighted metric and a second weight signal and outputs a second weighted metric. A summing node receives the first and second weighted metrics and outputs a combined weighted metric to an LPOS word decoder. | 09-09-2010 |
20100189169 | 16-STATE ADAPTIVE NOISE PREDICTIVE MAXIMUM-LIKELIHOOD DETECTION SYSTEM - A 16-State adaptive NPML detector is provided for a tape drive which addresses weaknesses of a conventional fixed, 8-state EPR4 detector. Rather than having a fixed target channel, the detector is programmable to allow a range of target channels and can support “classical” partial response channels such as PR4 or EPR4 by programming predictor or whitening filter coefficients. In one embodiment, two filter coefficients may be set via XREG inputs or dynamically determined through the use of an LMS algorithm allowing the detector to adapt the predictor coefficients as data is being read. Another embodiment provides a detector for an EPR4 target in which the whitening filter has one coefficient. Components of the detection system include the detector itself, an LMS engine, a coefficient engine and a noise predictive or whitening filter. Coefficients from the LMS engine may be loaded or stored dynamically based upon conditions in the tape drive. | 07-29-2010 |
20100180180 | ECC INTERLEAVING FOR MULTI-TRACK RECORDING ON MAGNETIC TAPE - Conventional C2 coding and interleaving for multi-track data tape in LTO-¾ do not support recording data onto a number of concurrent tracks which is not a power of two. Higher-rate longer C2 codes, which do not degrade error rate performance, are provided. An adjustable format and interleaving scheme accommodates future tape drives in which the number of concurrent tracks is not necessarily a power of two. A data set is segmented into a plurality of unencoded subdata sets and parity bytes are generated for each row and column. The parameters of the C2 code include N | 07-15-2010 |
20100177901 | SYSTEM AND SERVICE TO FACILITATE ENCRYPTION IN DATA STORAGE DEVICES - An encryption communications appliance provides data encryption management for a data storage library. The appliance is coupled to an encryption-capable storage device, a data storage library controller within the data storage library and with an encryption key manager (EKM). The encryption command communications appliance intercepts encryption key requests from the data storage device and transparently forwards the requests to the EKM. The appliance also forwards transparently communications between the library controller and the data storage device. | 07-15-2010 |
20100177885 | METHODS TO FACILITATE ENCRYPTION IN DATA STORAGE DEVICES - Methods are provided for managing data encryption for a data storage library. An implementation assessment is performed for a customer and, in response to the implementation assessment, a set of customizations are generated for an encryption command communications appliance to enable the appliance to communicate with an encryption-capable storage device and a data storage library controller within the data storage library and with an encryption key manager (EKM) coupled to the data storage library. The encryption command communications appliance is configured with the set of customizations and the configured encryption command communications appliance is installed in the data storage library coupled to the library controller, the storage device and the EKM. | 07-15-2010 |
20100177424 | RECORDING MULTIPLE CODEWORD SETS DURING LATENCY PERIOD - Writing data to magnetic tape is performed by receiving data from a host, establishing sub data sets, computing C | 07-15-2010 |
20100177422 | REWRITE-EFFICIENT ECC/INTERLEAVING FOR MULTI-TRACK RECORDING ON MAGNETIC TAPE - For writing data to multi-track tape, a received data set is received and segmented into unencoded subdata sets, each comprising an array having K | 07-15-2010 |
20100177421 | STORING PARTIAL DATA SETS TO MAGNETIC TAPE - Methods, logic, apparatus and computer program product write data, comprising less than a full Data Set, to magnetic tape. Data is received from a host, a do-not-interleave command is issued and C1 and C2 ECC are computed. Codeword Quad (CQ) sets are then formed. At least one CQ set of the Data Set is written to a magnetic tape in a non-interleaved manner and a Data Set Information Table (DSIT) is written to the magnetic tape immediately following the at least one written CQ set. An address transformation may be used to cancel interleaving. Writing a CQ set may include writing a plurality of contiguous instances of the CQ set to the magnetic tape to maintain the effectiveness of ECC capability. | 07-15-2010 |
20100177420 | REWRITING CODEWORD OBJECTS TO MAGNETIC DATA TAPE UPON DETECTION OF AN ERROR - During a read-after-write operation on magnetic tape, a first SCO is formed which includes two encoded processed user data units and is one of T SCOs in a first SCO set. The user data units are each one of T user data units in first and second user data unit sets, respectively, within the first SCO set. The first SCO set is written to the magnetic tape and is immediately read. When an error is detected in one of the user data units, a second SCO is formed to include the first user data unit and, only if an error is not detected in a user data unit in the other user data unit set, to not include the other user data unit, the second SCO being one of T SCOs in a second SCO set. Then, the second SCO set is rewritten to a later position on the tape later. | 07-15-2010 |
20100169622 | PROCESSOR REGISTER RECOVERY AFTER FLUSH OPERATION - An information handling system includes a processor that may perform general purpose register recovery operations after an instruction flush operation that an exception, such as a branch misprediction causes. The processor receives an instruction stream that may include multiple instructions that operate on a particular target register that stores instruction result information. The general purpose register may temporarily store instruction opcode and register bits information for use during dispatch, execution and other operations. The processor includes a recovery buffer unit for use during flush recovery operations. The processor may use recovery valid and recovery pending bits that correspond with each instruction during the register recovery from flush operation. | 07-01-2010 |
20100164580 | HIGH SPEED CLOCK SIGNAL DUTY CYCLE ADJUSTMENT - A clock signal duty cycle adjustment circuit includes a duty cycle correction circuit that receives a clock input signal that may need duty cycle correction. The duty cycle correction circuit may derive first and second differential clock signals from the clock input signal. The first and second differential clock signals may exhibit respective voltage offsets. The duty cycle correction circuit includes a voltage offset shift circuit that may shift the voltage offset that one of the first and second differential clock signals exhibits to adjust the effective duty cycle of a clock output signal. The duty cycle adjustment circuit derives the clock output signal from the voltage offset adjusted first and second differential clock signals in response to a duty cycle error signal. | 07-01-2010 |
20100161945 | INFORMATION HANDLING SYSTEM WITH REAL AND VIRTUAL LOAD/STORE INSTRUCTION ISSUE QUEUE - An information handling system includes a processor that may perform issue queue virtual load/store instruction operations. The issue queue maintains load and store instructions with a real/virtual dependency flag. The issue queue provides storage resources for real and virtual load/store instructions. Real load/store instructions execute in a load store unit LSU. Virtual load/store instructions are pending execution in the LSU. The LSU may keep track of each virtual load/store instruction within the issue queue by thread, type, and pointer data. Provided that all dependencies are clear for a pending virtual load/store instruction, the LSU marks the pending virtual load/store instruction as real. The pending virtual load/store instruction may then issue to the LSU as a real load/store instruction. | 06-24-2010 |
20100161942 | INFORMATION HANDLING SYSTEM INCLUDING A PROCESSOR WITH A BIFURCATED ISSUE QUEUE - An information handling system includes a processor with a bifurcated unified issue queue that may perform unified issue queue VSU store instruction dependency operations. The bifurcated unified issue queue BUIQ maintains VSU store instructions in the form of internal operations data. The BUIQ includes a unified issue queue UIQ | 06-24-2010 |
20100131927 | AUTOMATED GUI TESTING - Graphical User Interface (GUI) automation tools continue to evolve in their sophistication and complexity. However, it is still necessary to tailor such automation to the machine configuration that the test is being run on. This can be a costly and time consuming exercise when developing software for a myriad of different platforms. Broadly contemplated herein, in accordance with at least one embodiment of the invention, are arrangements and processes for recording a test solely on one machine while generating images on all the other available environments. | 05-27-2010 |
20100131600 | MESSAGE MASKING IN MIDDLEWARE ENVIRONMENTS - In the context of middleware products, an arrangement wherein a sender tags messages with authorization information identifying those users or groups who are authorized to view or receive the messages. Thus, even if multiple users will be connected to the same queue for reading messages, only specific receivers/consumers will be able to get the messages. Not only is a comfortable degree of security ensured, but the need to waste system resources, e.g., by using multiple queues for different kinds of messages, is summarily avoided. | 05-27-2010 |
20100106727 | SYSTEM AND METHOD FOR ENHANCING KEYWORD RELEVANCE BY USER'S INTEREST ON THE SEARCH RESULT DOCUMENTS - A system and method are provided for enabling a user to search for documents that the user has previously viewed on its local machine. The system includes three main components: the desktop integration module, the index module, and the graphical user interface module. The desktop integration module is an application which monitors documents with which the user interacts for predetermined events, and obtains content data and metadata from the monitored documents. The index module indexes the content data and metadata received from the desktop integration module. The graphical user interface module then permits a user to utilize the desktop integration module and index module by allowing a user to search for a document. | 04-29-2010 |
20100067193 | CONVERGENCE OF AIR WATER COOLING OF AN ELECTRONICS RACK AND A COMPUTER ROOM IN A SINGLE UNIT - Systems and methods are provided for cooling an electronics rack and a computer room from a single unit, which includes a heat-generating electronics subsystem across which air flows from an air inlet to an air outlet side of the rack. First and second modular cooling units (MCUs) are associated with the rack and configured to provide system coolant to the electronics subsystem for cooling thereof. System coolant supply and return manifolds are in fluid communication with the MCUs for facilitating providing of system coolant to the electronics subsystem, and to an air-to-liquid heat exchanger associated with the rack for exclusively cooling air passing through the rack, as well as conditioning the ambient air of the computer room. Such cooling is exclusive of an outside-of-rack conditioned air unit. | 03-18-2010 |
20100042658 | METHOD FOR CAD KNOWLEDGE MANAGEMENT - A knowledge model of CAD knowledge may be created using a modeling language such as SysML to improve maintainability and re-usability of knowledge, thereby reducing workload. The SysML knowledge model may be stored in a knowledge repository coupled to a knowledge server. The SysML knowledge model may be accessed through the knowledge server. The SysML knowledge model may be associated with elements of a CAD model, and one or more elements of the CAD model may be linked to one or more corresponding elements in the knowledge model. Thus, when a value of one or more elements is changed in the knowledge model, the results of the change are updated substantially immediately in both the CAD model and the knowledge model and other applications using the knowledge model. | 02-18-2010 |
20100031322 | SECURE PRINTING METHOD - A method for secure printing comprising receiving a user print request to print information from a computer terminal, wherein the computer terminal is located in a network and is assigned an internet protocol address, prompting the user for approval to instruct a designated printer to commence printing the print request, wherein the designated printer is connected to a network and is assigned an internet protocol address, determining whether the computer terminal and the designated printer are in the same network by comparing the first portion of the computer terminal's internet protocol address with the first portion of the designated printer's internet protocol address, and instructing the designated printer to commence printing if the computer terminal and the designated printer are determined to be in the same network. | 02-04-2010 |
20090327651 | Information Handling System Including A Multiple Compute Element Processor With Distributed Data On-Ramp Data-Off Ramp Topology - A symmetric multi-processing (SMP) processor includes a primary interconnect trunk for communication of information between multiple compute elements situated along the primary interconnect trunk. The processor also includes a secondary interconnected trunk that may be oriented perpendicular with respect to the primary interconnect trunk. The processor distributes data on-ramps and data off-ramps across the data lanes of a data trunk of the primary interconnect trunk to enable communication with compute elements and other structures both on-chip and off-chip. | 12-31-2009 |
20090326971 | METHOD FOR MANAGING PACKAGE DELIVERY - A method for managing package delivery may include receiving a plurality of packages. Each package may include a bar code or RFID tag associating the package with personal profile information. A delivery route plan may be generated based on the personal profile information. The estimated delivery time may be transmitted to the recipient in advance of the delivery. An electronic reply communication including a location of the recipient may be received from a notified recipient either confirming the delivery or proposing an alternate delivery time or location. The delivery route plan may be recalculated based on the retrieved personal profile information, the alternate delivery time or location if proposed by the recipient, and/or the current location of the recipient to identify alternate delivery time and location options. A confirmation selecting one of the alternate delivery time and location options or reconfirming the delivery may be received from the recipient. | 12-31-2009 |
20090313607 | Code Coverage Tool - A code coverage tool tests a program under test that executes on a processor of an information handling system (IHS). The code coverage tool may apportion the program under test into code portions of interest that exhibit a user-specified granularity level. A user may request that the code coverage tool change the granularity level of the code portions of interest in real time. The code coverage tool conducts testing of the code portions of interest according to test criteria that the user may specify in real time. The code coverage tool may provide test results for each of the code portions of interest in real time. The code coverage tool may also provide a summary report after providing real time test results for the code portions of interest. The user may specify that the code coverage tool generate real time test results in the form of sensory output, for example auditory output or visual output, for each of the code portions of interest. The code coverage tool may alter the type of sensory output in real time at the request of the user. | 12-17-2009 |
20090309219 | INJECTION MOLDED SOLDER BALL METHOD - Methods for making solder balls, which can be used to bump semiconductor wafers are disclosed. Methods for bumping semiconductor wafers with the solder balls are also disclosed. The solder balls can be made using an injection molded soldering (IMS) process. | 12-17-2009 |
20090307601 | METHOD FOR REFLEXIVE SECURING OF COMPUTER DISPLAYS - A system for preventing unauthorized viewing of content displayed on a computer display is presented. Once the system is activated, a computer user's eyes are tracked. If some source of interruption enters the vicinity of the user, the computer user will reflexively glance or look at the distraction, which accordingly results in the user removing his focus from the content on the computer display. As the user's focus is diverted from the display due to the interruption, the eye tracking detects this. In response to the detected removal of focus, the computer display is locked out extremely rapidly such that the content is no longer displayed. | 12-10-2009 |
20090302460 | SELF-ASSEMBLED MONOLAYER RELEASE FILMS - A release film for soft composite materials is provided. The release film contains a film with a closely packed self-assembled monolayer. A method of applying soft composite materials to a substrate without loss of the soft composite material to the release film is also provided. The method is useful in applications such as applying thermal pastes to semiconductor packaging. | 12-10-2009 |
20090300514 | SYSTEM FOR EFFECTIVE MEETING INVITEE RESPONSE STATUS - A system for visually representing an event as part of a larger schedule (e.g., calendar). The representation of the particular event may include an indicator configured to display invitee status. Functionality may also be configured to provide additional information, for example, by user interaction. In at least one instance, a user may move a mouse over the invitee status indicator to trigger a pop-up box with the additional information. Additional functionality, that may be implemented alone or in conjunction with the above exemplary embodiments of the present invention, may include the automatic execution of an activity in view of a threshold condition related to the event. For example, when an event is created, a threshold condition pertaining to one or more characteristics of the event and/or an activity may be configured. When the threshold condition is met and/or exceeded, the configured activity may be triggered. | 12-03-2009 |
20090300092 | ENHANCING REAL-TIME PERFORMANCE FOR JAVA APPLICATION SERVING - A method, system, and computer-readable medium with executable code for enhancing real-time performance of a client device connected to a java virtual machine by incorporating a java proxy server. Java routing logic of a java proxy server is used to receive a request from a client device to access a java virtual machine. The java proxy server may use the java routing logic to select a java virtual machine from among multiple accessible java virtual machines. The java proxy server selects the java virtual machine that has the greatest amount of free memory, and is not performing a garbage collection operation. Once a java virtual machine is chosen, incoming client device connections are routed to a chosen java virtual machine. Additionally, the java routing logic may instruct one or more of the multiple java virtual machines to only perform garbage collection operations when idle, and when no other java virtual machines are performing garbage collection operations. | 12-03-2009 |
20090292461 | DYNAMIC DELIVERY OF WAYPOINT DATA ASSOCIATED WITH TRAVEL RESERVATIONS - Capabilities are added to a travel reservation system by delivering a traveler's destination information to a car reservation company computer for subsequent downloading as waypoint data into a global positioning system (GPS) device in the car being rented by the traveler. The traveler creates a travel itinerary, including a request for a rental car at a first destination and a second destination to which the traveler will drive the car. Information about the destinations is transmitted to the rental car company for downloading into a GPS receiver in the rental car for use by the traveler. | 11-26-2009 |
20090287836 | AJAX PROXY INDIRECTION FOR EXTERNAL DOMAIN REQUESTS - An Ajax proxy indirection technique enables a local, front-end proxy server to handle Ajax requests from an Ajax client that must be serviced by an external Ajax server in an external domain, instead of a local Ajax back-end server exposing itself to the external domain. The front-end proxy server accepts the Ajax client's request and forwards it to the local Ajax back-end server. The proxy server asks the local AJAX server for the credentials to be used in the “external” AJAX request. The local Ajax back-end server then responds to the proxy server with meta-data for the external domain request that the proxy will make to the external domain. The proxy server uses the credentials of the “external” AJAX request to make the external request to the external Ajax server in the external domain. The proxy server performs any authentication and necessary domain mapping with the external Ajax server before sending a response from the external Ajax server back to the client. | 11-19-2009 |
20090287776 | SYSTEM AND METHOD FOR AUTOGENERATED EMAIL FOLLOW-UP - A system and method for transmitting an electronic mail message to one or more intended recipients, which allows the user to designate that an electronic mail message contains a request for information which requires a reply from the one or more intended recipients, monitors electronic mail messages incoming to the sender to detect whether a reply has been received within the response period, and upon receiving a reply from any of the one or more intended recipients, presents the reply to the sender with one or more user-selectable icons which allow the sender to accept the reply as sufficient or request more information from the one or more intended recipients in response to selection of a user-selectable icon. | 11-19-2009 |
20090271602 | Method for Recovering Data Processing System Failures - A method for recovering from software and/or hardware failures occurred in a data processing system is disclosed. A current version of boot code and an updated version of boot code are stored in a first memory region and a second memory region, respectively, of a non-volatile memory of the data processing system. The updated version of boot code is periodically replaced by a latest version of boot code downloaded from a support center. After an occurrence of a system crash, a determination is made if the system crash was caused by a known problem that can be remedied by the updated version of boot code. If so, the data processing system is rebooted using the updated version of boot code. Otherwise, data related to the system crash is collected, and the data processing system is rebooted using the current version of boot code. | 10-29-2009 |
20090216585 | SORTING OPTIMIZATION OF DOCUMENTS FOR MAILING - A method of optimizing mail sorting on a envelope sorting machine by eliminating the necessity to pass some of the documents through the machine twice is provided in which a postal code table is created having an order for each of a plurality of postal code ranges. An unsorted document print stream is received and a document parameter table created to store boundaries of each of a plurality of documents and a location of a postal code in each document. An index table is constructed incorporating information from the postal code table indicating the location and order of each document in the unsorted document print stream. The index table is reordered according to the order of each document and a sorted document print stream is generated, whereby the documents are arranged in order of their respective priorities. The documents are then printed from the sorted document print stream, separated into groups in accordance with their respective priorities and sorted in order of each group's respective order. | 08-27-2009 |
20090210617 | MULTI-LEVEL VOLUME TABLE OF CONTENTS - Methods, data structures and systems provide organize a table of contents for a volume (VTOC) stored in a storage system. The volume is divided into a plurality of ranges of tracks, including a first track range. For each track range, an associated sub-VTOC is created containing information about the contents of the track range. A master VTOC is also created containing a plurality of pointers, each pointer pointing to one of the plurality of sub-VTOCs. A first data set stored on one or more tracks within the first track range is updated and the sub-VTOC associated with the first track range is locked, whereby access to other sub-VTOCs is unaffected. The sub-VTOC associated with the first track range may then be accessed, updated and unlocked. Thus, updating data sets stored within different track ranges on the volume may proceed at the same time. | 08-20-2009 |
20090207515 | ERROR CORRECTION CAPABILITY FOR LONGITUDINAL POSITION DATA IN A TAPE STORAGE SYSTEM - A longitudinal position (LPOS) word L(n) is encoded with error correction capability. The LPOS word includes a plurality of LPOS symbols L | 08-20-2009 |
20090200534 | METHOD FOR FABRICATION OF POLYCRYSTALLINE DIODES FOR RESISTIVE MEMORIES - The present invention, in one embodiment, provides a method of producing a PN junction the method including at least the steps of providing a Si-containing substrate; forming an insulating layer on the Si-containing substrate; forming a via through the insulating layer to expose at least a portion of the Si-containing substrate; forming a seed layer of the exposed portion of the Si containing substrate; forming amorphous Si on at least the seed layer; converting at least a portion of the amorphous Si to provide crystalline Si; and forming a first dopant region abutting a second dopant region in the crystalline Si. | 08-13-2009 |
20090199138 | Method and Apparatus for Evaluating Integrated Circuit Design Model Performance Using Basic Block Vectors and Fly-By Vectors Including Microarchitecture Dependent Information - A test system or simulator includes an IC test application sampling software program that executes test application software on a semiconductor die IC design model. The test application sampling software includes trace, simulation point, CPI error, clustering and other programs. IC designers utilize the test application sampling software to evaluate the performance characteristics of IC designs with test software applications. The test application sampling software generates basic block vectors (BBVs) and fly-by vectors (FBVs) from instruction trace analysis of test application software. The test application sampling software analyzes microarchitecture dependent information that it uses to generate the FBVs. Test application sampling software generates a reduced representative test application software program from the BBV and FBV data utilizing an instruction budgeting method. Designers use the test system with test application sampling software to evaluate IC design models by using the representative test application software program. | 08-06-2009 |
20090195909 | GAIN CONTROL FOR DATA-DEPENDENT DETECTION IN MAGNETIC STORAGE READ CHANNELS - Method, apparatus and computer program product adjust gain in a read channel of a magnetic media data storage device. A digital signal sample having a data-dependent noise component is received. A gain value, stored in a location in a gain table, is selected in a data-dependent manner. The gain of the signal sample is adjusted in response to the selected gain value. A bit pattern is detected from the gain-adjusted signal sample and a data output signal is output based upon the detected bit pattern. | 08-06-2009 |
20090193296 | Method and Apparatus for Testing a Full System Integrated Circuit Design by Statistical Fault Injection Using Hardware-Based Simulation - A test system tests a full system integrated circuit (IC) model that includes a device under test (DUT) IC model and a support IC model. A test manager information handling system (IHS) maps the full system IC model on a hardware accelerator simulator via an interface bus. The hardware accelerator simulator thus emulates the full system IC model. Of all possible fault injection points in the model, the test manager IHS selects a subset of those injection points for fault injection via a statistical sampling method in one embodiment. In response to commands from the test manager IHS, the simulator serially injects faults into the selected fault injection points. The test manager IHS stores results for respective fault injections at the selected injection points. If a machine checkstop or silent data corruption error occurs as a result of an injected fault, the DUT IC model may return to a stored checkpoint and resume operation from the stored checkpoint. The result information is useful in determining a soft error rate (SER) for the DUT IC. | 07-30-2009 |
20090193240 | METHOD AND APPARATUS FOR INCREASING THREAD PRIORITY IN RESPONSE TO FLUSH INFORMATION IN A MULTI-THREADED PROCESSOR OF AN INFORMATION HANDLING SYSTEM - An information handling system employs a processor that includes a thread priority controller. The processor includes a memory array that stores instruction threads including branch instructions. A branch unit in the processor sends flush information to the thread priority controller when a particular branch instruction in a particular instruction thread requires a flush operation. The flush information may indicate the correctness of incorrectness of a branch prediction for the particular branch instruction and thus the necessity of a flush operation. The flush information may also include a thread ID of the particular thread. If the flush information for the particular branch instruction of the particular thread indicates that a flush operation is necessary, the thread priority controller in response speculatively increases or boosts the priority of the particular instruction thread including the particular branch instruction. In this manner, a fetcher in the processor obtains ready access to the particular thread in the memory array. | 07-30-2009 |
20090193231 | METHOD AND APPARATUS FOR THREAD PRIORITY CONTROL IN A MULTI-THREADED PROCESSOR OF AN INFORMATION HANDLING SYSTEM - An information handling system employs a processor that includes a thread priority controller. An issue unit in the processor sends branch issue information to the thread priority controller when a branch instruction of an instruction thread issues. In one embodiment, if the branch issue information indicates low confidence in a branch prediction for the branch instruction, the thread priority controller speculatively increases or boosts the priority of the instruction thread containing this low confidence branch instruction. In the manner, should a branch redirect actually occur due to a mispredict, a fetcher is ready to access a redirect address in a memory array sooner than would otherwise be possible. | 07-30-2009 |
20090193145 | METHOD, APPARATUS AND SYSTEM TO DYNAMICALLY MANAGE LOGICAL PATH RESOURCES - A system, apparatus, and method dynamically manages logical path resources by adding, removing, and establishing logic paths based on specified priority schemes associated with the logical path resources. Information associated with the logical path resources is updated in a logical path resource table. | 07-30-2009 |
20090193062 | STORING INFORMATION IN OTHERWISE UNUSED SPACE IN VTOC AND VVDS FOR RECOVERY OF DATA - When a VTOC and a VVDS are established for information used to access data sets of a volume, unused space remains in both structures. The data sets, VTOC and VVDS are stored on a recordable medium. For VSAM data sets, other, vital information about the data set is also stored in the VTOC; for non-VSAM data sets, vital information about the data set is also stored in the VVDS. If the VOTC entry of a non-VSAM data set becomes corrupted, the vital information in the VVDS may be used to access the stored data set. Similarly, If the VVDS entry of a VSAM data set becomes corrupted, the vital information in the VTOC may be used to access the stored data set. | 07-30-2009 |
20090190674 | METHOD AND APPARATUS TO INJECT NOISE IN A NETWORK SYSTEM - An apparatus, system, and method are disclosed for injecting noise onto a link of a network. The apparatus, system, and method include, providing a noise injector card, connecting the noise injector card to the link, receiving a control signal to activate the noise injector card, switching a switch of the noise injector card, and injecting noise onto the link. | 07-30-2009 |
20090177858 | Method and Apparatus for Controlling Memory Array Gating when a Processor Executes a Low Confidence Branch Instruction in an Information Handling System - An information handling system includes a processor with an array power management controller. The array power management controller gates off a memory array, such as a cache, to conserve power whenever a group of instructions in a branch instruction queue together as a group exhibits a confidence in the accuracy of branch predictions of branch instructions therein that is less than a first predetermined threshold confidence threshold. In one embodiment of the information handling system, the array power management controller speculatively inhibits the gating off of the memory array when confidence in the accuracy of a branch prediction for a particular currently issued branch instruction exhibits less than a second predetermined threshold confidence threshold. In this manner, the array power management controller again allows access to the memory array in the event a branch redirect is likely. | 07-09-2009 |
20090175451 | Target Of Opportunity Recognition During An Encryption Related Process - A method, system, and computer program product are provided for utilizing target of opportunity to perform at least one special operation while a key session is opened with a key manager for another purpose. The method of recognizing a target of opportunity includes receiving a command to be performed on a removable storage medium and determining if the command requires interaction with the encryption key manager. If it is determined that the command requires interaction with the key manager the command is held off. A request is sent to the encryption key manager. A target of opportunity is recognized by determining if at least one special operation may be performed. If it is determined that at least one special operation may be performed then the at least one special operation and the request are performed. | 07-09-2009 |
20090174965 | Data Storage Drive With Target Of Opportunity Recognition - A system and computer program product are provided for utilizing target of opportunity to perform at least one special operation while a removable storage medium is mounted within a data storage drive for another purpose. The system for recognizing a target of opportunity comprises a tape drive. The tape drive receives a command to mount a tape cartridge in the tape drive, and in response the tape drive mounts the tape cartridge in the tape drive. The tape drive determines if at least one special operation may be performed. If it is determined that at least one special operation may be performed, the tape drive recognizes that a target of opportunity exists. In response to determining that at least one special operation may be performed, the tape drive sends a first notification that the tape drive is to remain in a not ready state. The tape drive performs the at least one special operation, and in response to performing the at least one special operation, the tape drive sends a second notification that the tape drive is in a ready state or an error state. | 07-09-2009 |
20090174961 | Target Of Opportunity In An Automated Data Storage Library - A method is provided for utilizing target of opportunity to perform at least one special operation while a removable storage medium is mounted within a data storage drive for another purpose. A target of opportunity is recognized by determining if at least one special operation may be performed by the data storage drive. If it is determined that at least one special operation may be performed then a first notification that the data storage drive is to remain in a not ready state is sent in response. At least one special operation is performed, and in response to the at least one special operation being performed, a second notification is sent that the removable storage medium is in a ready state or an error state. | 07-09-2009 |
20090164734 | MULTIPLE CONCURRENT SYNC DEPENDENCIES IN AN OUT-OF-ORDER STORE QUEUE - A method, system and processing device for retiring data entries held within a store queue (STQ). The STQ of a processor cache is modified to receive and process several types of data entries including: non-synchronized (non-sync), thread of execution synchronized (thread-sync), and all thread of execution synchronized (all-thread-sync). The task of storing data entries, from the STQ out to memory or an input/output device, is modified to increase the effectiveness of the cache. The modified STQ allows non-sync, thread-sync, and all-thread-sync instructions to coexist in the STQ regardless of the thread of execution. Stored data entries, or stores are deterministically selected for retirement, according to the data entry type. | 06-25-2009 |
20090164729 | SYNC-ID FOR MULTIPLE CONCURRENT SYNC DEPENDENCIES IN AN OUT-OF-ORDER STORE QUEUE - A method, system and process for retiring data entries held within a store queue (STQ). The STQ of a processor cache is modified to receive and process multiple synchronized groups (sync-groups). Sync groups comprise thread of execution synchronized (thread-sync) entries, all thread of execution synchronized (all-thread-sync) entries, and regular store entries (non-thread-sync and non-all-thread-sync). The task of storing data entries, from the STQ out to memory or an input/output device, is modified to increase the effectiveness of the cache. Sync-groups are created for each thread and tracked within the STQ via a synchronized identification (SID). An entry is eligible for retirement when the entry is within a currently retiring sync-group as identified by the SID. | 06-25-2009 |
20090160780 | SELF-HEALING AND DIAGNOSTIC SCREEN - A method, system, and computer program product for determining a level of cleanliness of a multi-touch screen display, characterizing objects that make contact with the screen, and initiating a specific maintenance action on the screen, based on screen cleanliness and the object(s) characterization(s). A screen diagnostic and maintenance (SDM) utility initiates a number of procedures to determine the type of object(s) and a set of characteristics of object(s) that make contact with the touch screen. Based on the results of the procedures, the SDM utility characterizes/identifies the object(s). In addition, the SDM utility initiates maintenance screen check(s) based on information stored in maintenance configuration file(s). Based on the results of the maintenance check(s), configuration file(s) data and type and characterization of the object(s) that have made contact with the screen, the SDM utility determines the type of maintenance that is performed and the timing/schedule of the maintenance action(s). | 06-25-2009 |
20090150657 | Method and Apparatus for Inhibiting Fetch Throttling When a Processor Encounters a Low Confidence Branch Instruction in an Information Handling System - An information handling system includes a processor that throttles an instruction fetcher whenever a group of instructions in a branch instruction queue together exhibits a confidence in the accuracy of branch predictions of branch instructions therein that is less than a first predetermined threshold confidence threshold. In one embodiment, the processor includes a fetch throttle controller that inhibits fetch throttling by the instruction fetcher when confidence in the accuracy of a branch prediction for a particular currently issued branch instruction exhibits less than a second predetermined threshold confidence threshold. | 06-11-2009 |
20090147394 | MANAGEMENT OF DATA CARTRIDGES IN MULTIPLE-CARTRIDGE CELLS IN AN AUTOMATED DATA STORAGE LIBRARY - In an automated library, data cartridges, such as magnetic tape cartridges, are stored in storage cells and accessed by data storage drives. An accessor with a gripper transports cartridges between storage cells and storage drives. Cartridges are prioritized according to their relative importance. A processor manages the placement of the cartridges in cells by having higher priority cartridges stored closer to the front of multi-cartridge cells than cartridges with a lower priority. Cartridges with a higher priority may also be stored closer to a storage drive than cartridges with a lower priority. A pusher may be used to push cartridges towards the front of multi-cartridge cells with an empty position to enable the gripper to reach the front cartridge. | 06-11-2009 |
20090120172 | NANOINDENTATION SURFACE ANALYSIS METHOD - The present invention provides a novel method for determining the mechanical properties of the surfaces of materials including thin films. Generally, the method is comprised of laterally scanning the surface of the film with an array of cantilever tips varying temperature, load and time to obtain a measurement of mechanical properties, such as hardness and glass transition temperature. The method can be used to obtain mechanical properties of films that would otherwise be unobtainable using standard methods. | 05-14-2009 |
20090113098 | Method and Apparatus for Maintaining Memory Data Integrity in an Information Handling System Using Cache Coherency Protocols - An information handling system includes a processor integrated circuit including multiple processors with respective processor cache memories. Enhanced cache coherency protocols achieve cache memory integrity in a multi-processor environment. A processor bus controller manages cache coherency bus interfaces to master devices and slave devices. In one embodiment, a master I/O device controller and a slave I/O device controller couple directly to the processor bus controller while system memory couples to the processor bus controller via a memory controller. In one embodiment, the processor bus controller blocks partial responses that it receives from all devices except the slave I/O device from being included in a combined response that the processor bus controller sends over the cache coherency buses. | 04-30-2009 |
20090112555 | Design Structure For A Duty Cycle Measurement Apparatus That Operates In A Calibration Mode And A Test Mode - A design structure for an on-chip duty cycle measurement system may be embodied in a machine readable medium for designing, manufacturing or testing an integrated circuit. The design structure may embody an apparatus that measures the duty cycle of a reference clock signal that a clock circuit supplies to a duty cycle measurement (DCM) circuit. The design structure may specify that the DCM circuit includes a capacitor driven by a charge pump and that a reference clock signal drives the charge pump. The design structure may specify that the clock circuit varies the duty cycle of the reference clock signal among a number of known duty cycle values. The design structure may specify that the DCM circuit stores resultant capacitor voltage values corresponding to each of the known duty cycle values in a data store. The DCM circuit may apply a test clock signal having an unknown duty cycle to the capacitor via the charge pump, thus charging the capacitor to a new voltage value that corresponds to the duty cycle of the test clock signal. The design structure may specify that control software accesses the data store to determine the duty cycle to which the test clock signal corresponds. | 04-30-2009 |
20090064068 | Method and Apparatus for Evaluating the Timing Effects of Logic Block Location Changes in Integrated Circuit Design - An integrated circuit (IC) floorplan system includes an integration design system that executes IC floorplan software on a semiconductor die IC model. The IC floorplan software includes a timing tool database of the IC model. IC integrators utilize the IC floorplan software to evaluate logic block moves within the IC model. The IC floorplan software analyzes wire interconnect signal propagation time delays that result from prospective logic block moves with the IC model. The IC floorplan software reports back in real time whether or not a prospective move of a logic block from one location to another in the IC model will cause a timing failure due to a wire interconnect time delay exceeding a predetermined timing parameter. | 03-05-2009 |