KLA- Tencor Corporation Patent applications |
Patent application number | Title | Published |
20160128171 | APPARATUS AND METHODS FOR OPTICS PROTECTION FROM DEBRIS IN PLASMA-BASED LIGHT SOURCE - Disclosed are methods and apparatus for generating an illumination beam. In one embodiment, the apparatus includes a vacuum chamber configured to hold a target material, an optical element positioned within the vacuum chamber or within a wall of such vacuum chamber, and an illumination source system for generating at least one excitation source that is focused on the target in the vacuum chamber for generating a plasma in the vacuum chamber so as to produce illumination radiation. The apparatus further includes a debris protection system for flowing gas out of a plurality of nozzles and away from the optical element at a velocity towards the plasma so as to prevent debris from reaching such optical element. | 05-05-2016 |
20160116425 | AUTOMATED DECISION-BASED ENERGY-DISPERSIVE X-RAY METHODOLOGY AND APPARATUS - One embodiment relates to a method for automated review of defects detected in a defective die on the target substrate. The method includes: performing an automated review of the defects using an secondary electron microscope (SEM) so as to obtain electron-beam images of the defects; performing an automated classification of the defects into types based on morphology of the defects as determined from the electron-beam images; selecting defects of a specific type for automated energy-dispersive x-ray (EDX) review; and performing the automated EDX review on the defects of the specific type. In addition, automated techniques are disclosed for obtaining an accurate reference so as to improve the usefulness of the EDX results. Furthermore, an automated method of classifying the defects based on the EDX results is disclosed which provides a final pareto that combines both morphological and elemental information. Other embodiments, aspects and features are also disclosed. | 04-28-2016 |
20160110858 | CRITICAL DIMENSION UNIFORMITY ENHANCEMENT TECHNIQUES AND APPARATUS - Disclosed are methods and apparatus for inspecting a photolithographic reticle. Modeled images of a plurality of target features of the reticle are obtained based on a design database for fabricating the reticle. An inspection tool is used to obtain a plurality of actual images of the target features of the reticle. The modelled and actual images are binned into a plurality of bins based on image properties of the modelled and actual images, and at least some of the image properties are affected by one or more neighbor features of the target features on the reticle in a same manner. The modelled and actual images from at least one of the bins are analyzed to generate a feature characteristic uniformity map for the reticle. | 04-21-2016 |
20160056606 | 193nm Laser And An Inspection System Using A 193nm Laser - An improved laser uses a pump laser with a wavelength near 1109 nm and a fundamental wavelength near 1171 nm to generate light at a wavelength between approximately 189 nm and approximately 200 nm, e.g. 193 nm. The laser mixes the 1109 nm pump wavelength with the 5 | 02-25-2016 |
20160047744 | APPARATUS AND METHODS FOR DETECTING OVERLAY ERRORS USING SCATTEROMETRY - Disclosed is a scatterometry mark for determining an overlay error, critical dimension, or profile of the mark. The mark includes a first plurality of periodic structures on a first layer, a second plurality of periodic structures on a second layer, and a third plurality of periodic structures on a third layer that is underneath the first and second layer. The third periodic structures are perpendicular to the first and second structures, and the third periodic structures have one or more characteristics so as to result in a plurality of lower structures beneath the third periodic structures being screened from significantly affecting at least part of a spectrum of a plurality of scattered signals detected from the first and second periodic structures for determining an overlay error, critical dimension, or profile of the first and second periodic structures or at least one of such detected scattered signals. | 02-18-2016 |
20150370175 | IN-LINE WAFER EDGE INSPECTION, WAFER PRE-ALIGNMENT, AND WAFER CLEANING - Disclosed are methods and apparatus for inspecting and processing semiconductor wafers. The system includes an edge detection system for receiving each wafer that is to undergo a photolithography process. The edge detection system comprises an illumination channel for directing one or more illumination beams towards a side, top, and bottom edge portion that are within a border region of the wafer. The edge detection system also includes a collection module for collecting and sensing output radiation that is scattered or reflected from the edge portion of the wafer and an analyzer module for locating defects in the edge portion and determining whether each wafer is within specification based on the sensed output radiation for such wafer. The photolithography system is configured for receiving from the edge detection system each wafer that has been found to be within specification. The edge detection system is coupled in-line with the photolithography system. | 12-24-2015 |
20150355106 | MINIATURIZED IMAGING APPARATUS FOR WAFER EDGE - Disclosed are methods and apparatus for imaging a rounded edge of a sample, such as a wafer with a beveled edge. In one embodiment, the system includes a curved diffuser having an internal surface for positioning towards the rounded edge of the sample and an external surface opposite the internal surface and light sources for generating a plurality of illumination beams adjacent to a plurality of positions on the external surface of the diffuser so that the diffuser outputs uniform light onto the rounded edge of the sample at a wide range of incident angles. The system further includes a sensor for receiving light scattered from the rounded edge of the sample in response to the incident light and generating a detected signal for generating an image. These elements are partially or entirely integrated into a compact assembly. | 12-10-2015 |
20150340200 | ELECTRON BEAM IMAGING WITH DUAL WIEN-FILTER MONOCHROMATOR - One embodiment relates to a dual Wien-filter monochromator. A first Wien filter focuses an electron beam in a first plane while leaving the electron beam to be parallel in a second plane. A slit opening allows electrons of the electron beam having an energy within an energy range to pass through while blocking electrons of the electron beam having an energy outside the energy range. A second Wien filter focuses the electron beam to become parallel in the first plane while leaving the electron beam to be parallel in the second plane. Other embodiments, aspects and features are also disclosed. | 11-26-2015 |
20150340195 | PILLAR-SUPPORTED ARRAY OF MICRO ELECTRON LENSES - One embodiment relates to a pillar-supported array of micro electron lenses. The micro-lens array includes a base layer on a substrate, the base layer including an array of base electrode pads and an insulating border surrounding the base electrode pads so as to electrically isolate the base electrode pads from each other. The micro-lens array further includes an array of lens holes aligned with the array of base electrode pads and one or more stacked electrode layers having openings aligned with the array of lens holes. The micro-lens array further includes one or more layers of insulating pillars, each layer of insulating pillars supporting a stacked electrode layer. Another embodiment relates to a method of fabricating a pillar-supported array of micro electron lenses. Other embodiments, aspects and features are also disclosed. | 11-26-2015 |
20150330907 | Method and Apparatus for Producing and Measuring Dynamically Focused, Steered, and Shaped Oblique Laser Illumination for Spinning Wafer Inspection System - A method and apparatus for producing high frequency dynamically focused oblique laser illumination for a spinning wafer inspection system. The focus is changed by changing the beam direction incidence angle so as to bring focal spot onto the wafer surface. | 11-19-2015 |
20150323471 | APPARATUS, TECHNIQUES, AND TARGET DESIGNS FOR MEASURING SEMICONDUCTOR PARAMETERS - In one embodiment, apparatus and methods for determining a parameter of a target are disclosed. A target having an imaging structure and a scatterometry structure is provided. An image of the imaging structure is obtained with an imaging channel of a metrology tool. A scatterometry signal is also obtained from the scatterometry structure with a scatterometry channel of the metrology tool. At least one parameter, such as overlay error, of the target is determined based on both the image and the scatterometry signal. | 11-12-2015 |
20150285735 | MULTIPLE ANGLES OF INCIDENCE SEMICONDUCTOR METROLOGY SYSTEMS AND METHODS - An apparatus includes (i) a bright light source for providing an illumination beam at multiple wavelengths selectable with a range from a deep ultraviolet wavelength to an infrared wavelength, (ii) illumination optics for directing the illumination beam towards a sample at selectable sets of angles of incidence (AOI's) or azimuth angles (AZ's) and polarization states to provide spectroscopic ellipsometry, wherein the illumination optics include an apodizer for controlling a spot size of the illumination beam on the sample at each of the selectable AOI/AZ sets, (iii) collection optics for directing an output beam from the sample in response to the illumination beam at each of the selectable AOI/AZ sets and polarization states towards a detector that generates an output signal or image based on the output beam, and (v) a controller for characterizing a feature of the sample based on the output signal or image. | 10-08-2015 |
20150276617 | DELTA DIE AND DELTA DATABASE INSPECTION - Disclosed are methods and apparatus for inspecting a photolithographic reticle. An inspection tool is used to obtain a plurality of patch area images of each patch area of each die of a set of identical dies on a reticle. An integrated intensity value for each patch area image is determined. A gain is applied to the integrated intensity value for each patch area image based on a pattern sparseness metric of such patch area image and its relative value to other patch area images' pattern sparseness metric. A difference between the integrated intensity value of each patch of pairs of the dies, which each pair includes a test die and a reference die, is determined to form a difference intensity map of the reticle. The difference intensity map correlates with a feature characteristic variation that depends on feature edges of the reticle. | 10-01-2015 |
20150261896 | MODEL FOR ACCURATE PHOTORESIST PROFILE PREDICTION - A photoresist modelling system includes a mathematical model for a photolithography process. The mathematical model may be executable using a computer processor. The mathematical model may be used to model a photoresist as formed on a semiconductor wafer surface. A blocked polymer concentration gradient equation may be implemented into the mathematical model. The blocked polymer concentration gradient equation may describe an initial concentration gradient of a blocked polymer in the photoresist being modelled by the mathematical model. | 09-17-2015 |
20150260660 | APPARATUS AND METHODS FOR DETECTING DEFECTS IN VERTICAL MEMORY - Disclosed are methods and apparatus for inspecting a vertical memory stack. On an inspection tool, incident light having a first wavelength range is used to detect defects on a surface of the vertical memory stack. On the inspection tool, incident light having a second wavelength range is used to detect defects on both the surface and throughout a depth of the vertical memory stack. The defects detected using the first and second wavelength range are compared to detect defects only throughout the depth of the vertical memory stack, excluding defects on the surface, as well as to detect defects only on the surface. | 09-17-2015 |
20150241790 | System and Method for Field-By-Field Overlay Process Control Using Measured and Estimated Field Parameters - The present disclosure is directed to a method of determining at least one correctable for a process tool. In an embodiment, the method includes the steps of: measuring one or more parameter values at one or more measurement locations of each field of a selection of measured fields of a wafer; estimating one or more parameter values for one or more locations of each field of a selection of unmeasured fields of the wafer; and determining at least one correctable for a process tool based upon the one or more parameter values measured at the one or more measurement locations of each field of the selection of measured fields of the wafer and the one or more parameter values estimated for the one or more locations of each field of the selection of unmeasured fields of the wafer. | 08-27-2015 |
20150234379 | Wafer and Lot Based Hierarchical Method Combining Customized Metrics with a Global Classification Methodology to Monitor Process Tool Condition at Extremely High Throughput - Methods and systems for monitoring process tool conditions are disclosed. The method combines single wafer, multiple wafers within a single lot and multiple lot information together statistically as input to a custom classification engine that can consume single or multiple scan, channel, wafer and lot to determine process tool status. | 08-20-2015 |
20150233705 | REDUCING ALGORITHMIC INACCURACY IN SCATTEROMETRY OVERLAY METROLOGY - Methods and systems for minimizing of algorithmic inaccuracy in scatterometry overlay (SCOL) metrology are provided. SCOL targets are designed to limit the number of oscillation frequencies in a functional dependency of a resulting SCOL signal on the offset and to reduce the effect of higher mode oscillation frequencies. The targets are segmented in a way that prevents constructive interference of high modes with significant amplitudes, and thus avoids the inaccuracy introduced by such terms into the SCOL signal. Computational methods remove residual errors in a semi-empirical iterative process of compensating for the residual errors algorithmically or through changes in target design. | 08-20-2015 |
20150226677 | MULTI-SPOT SCANNING COLLECTION OPTICS - Disclosed are apparatus and methods for inspecting or measuring a specimen. A system comprises an illumination channel for generating and deflecting a plurality of incident beams to form a plurality of spots that scan across a segmented line comprised of a plurality of scan portions of the specimen. The system also includes one or more detection channels for sensing light emanating from a specimen in response to the incident beams directed towards such specimen and collecting a detected image for each scan portion as each incident beam's spot is scanned over its scan portion. The one or more detection channels include at least one longitudinal side channel for longitudinally collecting a detected image for each scan portion as each incident beam's spot is scanned over its scan portion. | 08-13-2015 |
20150226676 | APPARATUS AND METHODS FOR COMBINED BRIGHTFIELD, DARKFIELD, ANDPHOTOTHERMAL INSPECTION - Disclosed are methods and apparatus for detecting defects or reviewing defects in a semiconductor sample. The system has a brightfield (BF) module for directing a BF illumination beam onto a sample and detecting an output beam reflected from the sample in response to the BF illumination beam. The system has a modulated optical reflectance (MOR) module for directing a pump and probe beam to the sample and detecting a MOR output beam from the probe spot in response to the pump beam and the probe beam. The system includes a processor for analyzing the BF output beam from a plurality of BF spots to detect defects on a surface or near the surface of the sample and analyzing the MOR output beam from a plurality of probe spots to detect defects that are below the surface of the sample. | 08-13-2015 |
20150204664 | SYMMETRIC TARGET DESIGN IN SCATTEROMETRY OVERLAY METROLOGY - Metrology methods, systems and targets are provided, which implement a side by side paradigm. Adjacent cells with periodic structures are used to extract the overlay error, e.g., by introducing controllable phase shifts or image shifts which enable algorithmic computation of the overlay. The periodic structures are designed to exhibit a rotational symmetry to support the computation and reduce errors. | 07-23-2015 |
20150192459 | EXTREME ULTRA-VIOLET (EUV) INSPECTION SYSTEMS - Disclosed are methods and apparatus for reflecting, towards a sensor, extreme ultra-violet (EUV) light that is reflected from a target substrate. The system includes a first mirror arranged to receive and reflect the EUV light that is reflected from the target substrate, a second mirror arranged to receive and reflect the EUV light that is reflected by the first mirror, a third mirror arranged to receive and reflect the EUV light that is reflected by the second mirror, and a fourth mirror arranged to receive and reflect the EUV light that is reflected by the third mirror. The first mirror has an aspherical surface. The second, third, and fourth mirrors each have a spherical surface. | 07-09-2015 |
20150179327 | APPARATUS AND METHOD FOR FINE-TUNING MAGNET ARRAYS WITH LOCALIZED ENERGY DELIVERY - One embodiment relates to an apparatus for adjustment of local magnetic strength in a magnetic device. A stage holds the magnetic device, and a sensor measures a magnetic field at locations above the magnetic device so as to generate magnetic field data. A computer system detects a non-uniformity in the magnetic field from the magnetic field data and determines a location and a duration for application of a pulsed laser beam to correct the non-uniformity. A laser device applies the pulsed laser beam at said location for said duration. Another embodiment relates to a method of adjusting local magnetic strength in a magnetic device. Another embodiment relates to a system for fine-tuning a magnet array with localized energy delivery. Other embodiments, aspects and features are also disclosed. | 06-25-2015 |
20150177159 | Low-Noise Sensor And An Inspection System Using A Low-Noise Sensor - A method of inspecting a sample at high speed includes directing and focusing radiation onto a sample, and receiving radiation from the sample and directing received radiation to an image sensor. Notably, the method includes driving the image sensor with predetermined signals. The predetermined signals minimize a settling time of an output signal of the image sensor. The predetermined signals are controlled by a phase accumulator, which is used to select look-up values. The driving can further include loading an initial phase value, selecting most significant bits of the phase accumulator, and converting the look-up values to an analog signal. In one embodiment, for each cycle of a phase clock, a phase increment can be added to the phase accumulator. The driving can be performed by a custom waveform generator. | 06-25-2015 |
20150176973 | A DUAL INTERFEROMETER SYSTEM WITH A SHORT REFERENCE FLAT DISTANCE FOR WAFER SHAPE AND THICKNESS VARIATION MEASUREMENT - An interferometer system is disclosed. The interferometer system includes two spaced apart reference flats having corresponding reference surfaces forming a cavity therebetween for placement of a polished opaque plate. The surfaces of the plate are approximately 2.5 millimeters or less from the corresponding reference surfaces when the plate is placed in the cavity. The interferometer system also includes two interferometer devices located on diametrically opposite sides of the cavity to map the surfaces of the plate. A light source is optically coupled to the interferometer devices. The light source includes an illuminator configured for producing light of multiple wavelengths and an optical amplitude modulator configured for stabilizing power of the light produced by the illuminator. The interferometer system further includes two interferogram detectors, and at least one computer coupled to receive the outputs of the interferogram detectors for determining thickness variations of the plate. | 06-25-2015 |
20150160564 | STAGE APPARATUS FOR SEMICONDUCTOR INSPECTION AND LITHOGRAPHY SYSTEMS - A semiconductor sample is received on a chuck of a stage that is movable with respect to a stage frame. The stage, chuck, and sample are moved under an inspection or exposure head for inspecting or exposing the sample, and multiple 2D encoder heads are coupled with the chuck. Multiple 2D encoder scales are coupled with a base through which the head is inserted, and a stage encoder is positioned on the stage frame. Movement of the stage, chuck, and sample is controlled based on a position detected by at least one of the 2D encoder heads until a predefined position that is within a gap that is not covered by the 2D encoder scales is reached. Movement control of the stage, chuck, and sample is switched to being based on a position detected by the stage encoder when such predefined position that is within the gap is reached. | 06-11-2015 |
20150125064 | Decision Tree Construction for Automatic Classification of Defects on Semiconductor Wafers - Methods and systems for decision tree construction for automatic classification of defects on semiconductor wafers are provided. One method includes creating a decision tree for classification of defects detected on a wafer by altering one or more floating trees in the decision tree. The one or more floating trees are sub-trees that are manipulated as individual units. In addition, the method includes classifying the defects detected on the wafer by applying the decision tree to the defects. | 05-07-2015 |
20150117754 | APPARATUS AND METHODS FOR INSPECTING EXTREME ULTRA VIOLET RETICLES - Disclosed are methods and apparatus for inspecting an extreme ultraviolet (EUV) reticle is disclosed. An inspection tool for detecting electromagnetic waveforms is used to obtain a phase defect map for the EUV reticle before a pattern is formed on the EUV reticle, and the phase defect map identifies a position of each phase defect on the EUV reticle. After the pattern is formed on the EUV reticle, a charged particle tool is used to obtain an image of each reticle portion that is proximate to each position of each phase defect as identified in the phase defect map. The phase defect map and one or images of each reticle portion that is proximate to each position of each phase defect are displayed or stored so as to facilitate analysis of whether to repair or discard the EUV reticle. | 04-30-2015 |
20150117610 | METHODS AND APPARATUS FOR MEASURING SEMICONDUCTOR DEVICE OVERLAY USING X-RAY METROLOGY - Disclosed are apparatus and methods for determining overlay error in a semiconductor target. For illumination x-rays having at least one angle of incidence (AOI), a correlation model is obtained, and the correlation model correlates overlay error of a target with a modulation intensity parameter for each of one or more diffraction orders (or a continuous diffraction intensity distribution) for x-rays scattered from the target in response to the illumination x-rays. A first target is illuminated with illumination x-rays having the at least one AOI and x-rays that are scattered from the first target in response to the illumination x-rays are collected. An overlay error of the first target is determined based on the modulation intensity parameter of the x-rays collected from the first target for each of the one or more diffraction orders (or the continuous diffraction intensity distribution) and the correlation model. | 04-30-2015 |
20150110249 | SMALL-ANGLE SCATTERING X-RAY METROLOGY SYSTEMS AND METHODS - Disclosed are apparatus and methods for performing small angle x-ray scattering metrology. This system includes an x-ray source for generating x-rays and illumination optics for collecting and reflecting or refracting a portion of the generated x-rays towards a particular focus point on a semiconductor sample in the form of a plurality of incident beams at a plurality of different angles of incidence (AOIs). The system further includes a sensor for collecting output x-ray beams that are scattered from the sample in response to the incident beams on the sample at the different AOIs and a controller configured for controlling operation of the x-ray source and illumination optics and receiving the output x-rays beams and generating an image from such output x-rays. | 04-23-2015 |
20150103351 | USING REFLECTED AND TRANSMISSION MAPS TO DETECT RETICLE DEGRADATION - An optical reticle inspection tool is used during an inspection to obtain, for each local area, an average of multiple reflected intensity values corresponding to light reflected from a plurality of sub-areas of each local area of the reticle. The optical reticle inspection tool is also used during the inspection to obtain, for each local area, an average of multiple transmitted intensity values corresponding to light transmitted through the sub-areas of each local area of the reticle. A combined intensity map is generated by combining, for each local area, the average of multiple reflected intensity values and the average of multiple transmitted intensity values such that a reticle pattern of the reticle is cancelled from the combined intensity map if the reticle has not degraded and such that the reticle pattern of the reticle is not cancelled out of the combined intensity map if the reticle has degraded. | 04-16-2015 |
20150097126 | ALIGNMENT SENSOR AND HEIGHT SENSOR - One embodiment relates to a device that senses alignment and height of a work piece. The device may include both an alignment sensor and a height sensor. The alignment sensor generates a first illumination beam that illuminates an alignment mark on the work piece so as to create a first reflected beam, and determines the alignment of the work piece using the first reflected beam. The height sensor generates a second illumination beam that is directed to a surface of the work piece at an oblique angle so as to form a second illumination spot and images the second illumination spot to determine the height of the work piece. Other embodiments, aspects and features are also disclosed. | 04-09-2015 |
20150090877 | ENHANCED DEFECT DETECTION IN ELECTRON BEAM INSPECTION AND REVIEW - One embodiment relates to an electron beam apparatus for inspection and/or review. An electron source generates a primary electron beam, and an electron-optics system shapes and focuses said primary electron beam onto a sample held by a stage. A detection system detects signal-carrying electrons including secondary electrons and back-scattered electrons from said sample, and an image processing system processes data from said detection system. A host computer system that controls and coordinates operations of the electron-optics system, the detection system, and the image processing system. A graphical user interface shows a parameter space and provides for user selection and activation of operating parameters of the apparatus. Another embodiment relates to a method for detecting and/or reviewing defects using an electron beam apparatus. Other embodiments, aspects and features are also disclosed. | 04-02-2015 |
20150071316 | Low Noise, High Stability, Deep Ultra-Violet, Continuous Wave Laser - A laser for generating deep ultra-violet (DUV) continuous wave (CW) light includes a second-harmonic generator and a fourth-harmonic generator. The fourth-harmonic generator includes a plurality of mirrors as well as first and second non-linear optical (NLO) crystals. The first NLO crystal generates the light having the fourth harmonic wavelength, and is placed in operative relation to the plurality of mirrors. The second NLO crystal is placed in operative relation to the first NLO crystal such that the light having the second harmonic wavelength passes through both the first and the second NLO crystals. Notably, the second optical axes of the second NLO crystal are rotated about a direction of propagation of the light within the second NLO crystal approximately 90 degrees relative to the first optical axes of the first NLO crystal. The second NLO crystal provides no wavelength conversion. | 03-12-2015 |
20150058813 | MULTI-MODEL METROLOGY - Disclosed are apparatus and methods for characterizing a plurality of structures of interest on a semiconductor wafer. A plurality of models having varying combinations of floating and fixed critical parameters and corresponding simulated spectra is generated. Each model is generated to determine one or more critical parameters for unknown structures based on spectra collected from such unknown structures. It is determined which one of the models best correlates with each critical parameter based on reference data that includes a plurality of known values for each of a plurality of critical parameters and corresponding known spectra. For spectra obtained from an unknown structure using a metrology tool, different ones of the models are selected and used to determine different ones of the critical parameters of the unknown structure based on determining which one of the models best correlates with each critical parameter based on the reference data. | 02-26-2015 |
20150054940 | QUALIFYING PATTERNS FOR MICROLITHOGRAPHY - Disclosed are methods and apparatus for qualifying a photolithographic reticle. A reticle inspection tool is used to acquire at least two images at different imaging configurations from each pattern area of the reticle. A reticle pattern is reconstructed based on each at least two images from each pattern area of the reticle. For each reconstructed reticle pattern, a lithographic process with two or more different process conditions is modeled on such reconstructed reticle pattern to generate two or more corresponding modeled test wafer patterns. Each two or more modelled test wafer patterns is analyzed to identify hot spot patterns of the reticle patterns that are susceptible to the different process conditions altering wafer patterns formed with such hot spot patterns. | 02-26-2015 |
20150046121 | METHODS AND APPARATUS FOR PATTERNED WAFER CHARACTERIZATION - Disclosed are apparatus and methods for characterizing a plurality of structures of interest on a semiconductor wafer. A plurality of spectra signals are measured from a particular structure of interest at a plurality of azimuth angles from one or more sensors of a metrology system. A difference spectrum is determined based on the spectra signals obtained for the azimuth angles. A quality indication of the particular structure of interest is determined and reported based on analyzing the difference spectrum. | 02-12-2015 |
20150046118 | DIFFERENTIAL METHODS AND APPARATUS FOR METROLOGY OF SEMICONDUCTOR TARGETS - Disclosed are apparatus and methods for determining process or structure parameters for semiconductor structures. A plurality of optical signals is acquired from one or more targets located in a plurality of fields on a semiconductor wafer. The fields are associated with different process parameters for fabricating the one or more targets, and the acquired optical signals contain information regarding a parameter of interest (POI) for a top structure and information regarding one or more underlayer parameters for one or more underlayers formed below such top structure. A feature extraction model is generated to extract a plurality of feature signals from such acquired optical signals so that the feature signals contain information for the POI and exclude information for the underlayer parameters. A POI value for each top structure of each field is determined based on the feature signals extracted by the feature extraction model. | 02-12-2015 |
20150042993 | Front Quartersphere Scattered Light Analysis - A surface inspection system, as well as related components and methods, are provided. The surface inspection system includes a beam source subsystem, a beam scanning subsystem, a workpiece movement subsystem, an optical collection and detection subsystem, and a processing subsystem. The optical collection and detection system features, in the front quartersphere, a light channel assembly for collecting light reflected from the surface of the workpiece, and a front collector and wing collectors for collecting light scattered from the surface, to greatly improve the measurement capabilities of the system. The light channel assembly has a switchable edge exclusion mask and a reflected light detection system for improved detection of the reflected light. | 02-12-2015 |
20150042987 | Front Quartersphere Scattered Light Analysis - A surface inspection system, as well as related components and methods, are provided. The surface inspection system includes a beam source subsystem, a beam scanning subsystem, a workpiece movement subsystem, an optical collection and detection subsystem, and a processing subsystem. The optical collection and detection system features, in the front quartersphere, a light channel assembly for collecting light reflected from the surface of the workpiece, and a front collector and wing collectors for collecting light scattered from the surface, to greatly improve the measurement capabilities of the system. The light channel assembly has a switchable edge exclusion mask and a reflected light detection system for improved detection of the reflected light. | 02-12-2015 |
20150042984 | METHODS AND APPARATUS FOR DETERMINING FOCUS - Disclosed are apparatus and methods for determining optimal focus for a photolithography system. A plurality of optical signals are acquired from a particular target located in a plurality of fields on a semiconductor wafer, and the fields were formed using different process parameters, including different focus values. A feature is extracted from the optical signals related to changes in focus. A symmetric curve is fitted to the extracted feature of the optical signals as a function of focus. An extreme point in the symmetric curve is determined and reported as an optimal focus for use in the photolithography system. | 02-12-2015 |
20150042979 | DIODE LASER BASED BROAD BAND LIGHT SOURCES FOR WAFER INSPECTION TOOLS - Disclosed are methods and apparatus for performing inspection or metrology of a semiconductor device. The apparatus includes a plurality of laser diode arrays that are configurable to provide an incident beam having different wavelength ranges. At least some of the laser diode arrays form two dimensional stacks that have different wavelength ranges from each other. The apparatus also includes optics for directing the incident beam towards the sample, a detector for generating an output signal or image based on an output beam emanating from the sample in response to the incident beam, and optics for directing the output beam towards the detector. The apparatus further includes a controller for configuring the laser diode arrays to provide the incident beam at the different wavelength ranges and detecting defects or characterizing a feature of the sample based on the output signal or image. | 02-12-2015 |
20150034838 | Method and System for Controlling Convection within a Plasma Cell - A plasma cell for controlling convection includes a transmission element configured to receive illumination from an illumination source in order to generate a plasma within a plasma generation region of the volume of gas. The plasma cell also includes a top flow control element disposed above the plasma generation, which includes an internal channel configured to direct a plume of the plasma upward, and a bottom flow control element disposed below the plasma generation region, which includes an internal channel configured to direct gas upward toward the plasma generation region. The top flow control element and the bottom flow control element are arranged within the transmission element to form one or more gas return channels for transferring gas from a region above the plasma generation region to a region below the plasma generation region. | 02-05-2015 |
20150029499 | AUTO-FOCUS SYSTEM AND METHODS FOR DIE-TO-DIE INSPECTION - Disclosed are methods and apparatus for detecting defects in a semiconductor sample having a plurality of identically designed areas. An inspection tool is used to construct an initial focus trajectory for a first swath of the sample. The inspection tool is then used to scan the first swath by following the initial focus trajectory for the first swath while collecting autofocus data. A z offset measurement vector for each identically designed area in the first swath is generated based on the autofocus data. A corrected z offset vector is constructed for inspection of the first swath with the inspection tool. Constructing the corrected z offset vector is based on combining the z offset measurement vectors for two or more of the identically designed areas in the first swath so that the corrected z offset vector specifies a same z offset for each set of same positions in the two or more identically designed areas. | 01-29-2015 |
20150029498 | MONITORING CHANGES IN PHOTOMASK DEFECTIVITY - A reticle that is within specifications is inspected so as to generate a baseline event indicating a location and a size value for each unusual reticle feature. After using the reticle in photolithography, the reticle is inspected so as to generate a current event indicating a location and a size value for each unusual reticle feature. An inspection report of candidate defects and their images is generated so that these candidate defects include a first subset of the current events and their corresponding candidate defect images and exclude a second subset of the current events and their corresponding excluded images. Each of the first included events has a location and size value that fails to match any baseline event's location and size value, and each of the excluded second events has a location and size value that matches a baseline event's location and size value. | 01-29-2015 |
20150016710 | Image Processing Architecture - An inspection system that receives image data corresponding to an image and processes the image data to produce a report corresponding to characteristics of the image. Interface cards receive the image data in a flow, where each interface card receives image data corresponding to a different portion of the image. Process nodes connect to the interface cards, and receive the image data from the interface cards. A host computer is connected to the process nodes, and job managers implemented in the host computer manage the flow of image data to and from the process nodes. The job managers remain operable during a crash of one of the process nodes. Process node programs are implemented in the process nodes, and analyze a portion of the image data and produce the report corresponding to the characteristics of the analyzed portion of the image data. At least one process node program is implemented in each process node. The process node programs rapidly analyze the image. The process node programs are isolated from the job managers so that a crash of a process node program does not crash the job manager. | 01-15-2015 |
20150015874 | WAFER AND RETICLE INSPECTION SYSTEMS AND METHODS FOR SELECTING ILLUMINATION PUPIL CONFIGURATIONS - In an optical inspection tool, an illumination aperture is opened at each of a plurality of aperture positions of an illumination pupil area one at a time across the illumination pupil area. For each aperture opening position, an incident beam is directed towards the illumination pupil area so as to selectively pass a corresponding ray bundle of the illumination beam at a corresponding set of one or more incident angles towards the sample and an output beam, which is emitted from the sample in response to the corresponding ray bundle of the incident beam impinging on the sample at the corresponding set of one or more incident angles, is detected. A defect detection characteristic for each aperture position is determined based on the output beam detected for each aperture position. An optimum aperture configuration is determined based on the determined defect detection characteristic for each aperture position. | 01-15-2015 |
20150007765 | CLBO Crystal Growth - A solution-stirring top-seeded solution-growth method for forming CLBO of the type where water is added to a precursor mixture, where heavy water is substituted for the water. | 01-08-2015 |
20140376802 | Wafer Inspection Using Free-Form Care Areas - Methods and systems for detecting defects on a wafer are provided. One method includes determining characteristics of care areas for a wafer based on wafer patterns. Determining the characteristics includes determining locations of care areas, identifying at least one pattern of interest (POI) in the wafer patterns for each of the care areas, allowing any of the care areas to have a free-form shape, allowing the care areas to be larger than frame images and selecting two or more POIs for at least one of the care areas. The method also includes searching for POIs in images generated for the wafer using an inspection system. In addition, the method includes detecting defects on the wafer by determining positions of the care areas in the images and applying one or more defect detection methods to the images based on the positions of the care areas in the images. | 12-25-2014 |
20140375981 | MULTIPLE ANGLES OF INCIDENCE SEMICONDUCTOR METROLOGY SYSTEMS AND METHODS - An apparatus includes (i) a bright light source for providing an illumination beam at multiple wavelengths selectable with a range from a deep ultraviolet wavelength to an infrared wavelength, (ii) illumination optics for directing the illumination beam towards a sample at selectable sets of angles of incidence (AOI's) or azimuth angles (AZ's) and polarization states to provide spectroscopic ellipsometry, wherein the illumination optics include an apodizer for controlling a spot size of the illumination beam on the sample at each of the selectable AOI/AZ sets, (iii) collection optics for directing an output beam from the sample in response to the illumination beam at each of the selectable AOI/AZ sets and polarization states towards a detector that generates an output signal or image based on the output beam, and (v) a controller for characterizing a feature of the sample based on the output signal or image. | 12-25-2014 |
20140369593 | DETECTION OF THIN LINES FOR SELECTIVE SENSITIVITY DURING RETICLE INSPECTION USING PROCESSED IMAGES - A detection method for a spot image based thin line detection is disclosed. The method includes a step for constructing a band limited spot image from a transmitted and reflected optical image of the mask. The spot image is calibrated to reduce noise introduced by the one or more inspection systems. Based on the band limited spot image, a non-printable feature map is generated for the non-printable features and a printable feature map is generated for the printable features. One or more test images of the mask are analyzed to detect defects on such mask. A sensitivity level of defect detection is reduced in areas of the one or more test images defined by the non-printable feature map, as compared with areas of the one or more test images that are not defined by the non-printable features map | 12-18-2014 |
20140362880 | CW DUV Laser With Improved Stability - A deep ultra-violet (DUV) continuous wave (CW) laser includes a fundamental CW laser configured to generate a fundamental frequency with a corresponding wavelength between about 1 μm and 1.1 μm, a third harmonic generator module including one or more periodically poled non-linear optical (NLO) crystals that generate a third harmonic and an optional second harmonic, and one of a fourth harmonic generator module and a fifth harmonic generator. The fourth harmonic generator module includes a cavity resonant at the fundamental frequency configured to combine the fundamental frequency with the third harmonic to generate a fourth harmonic. The fourth harmonic generator module includes either a cavity resonant at the fundamental frequency for combining the fundamental frequency with the third harmonic to generate a fifth harmonic, or a cavity resonant at the second harmonic frequency for combining the second harmonic and the third harmonic to generate the fifth harmonic. | 12-11-2014 |
20140358480 | Method for Auto-Learning Tool Matching - The present disclosure is directed to a method of tool matching that employs an auto-learning feedback loop to update a library of key parameters. According to the method, measurements are performed on a control wafer to collect a set of parameters associated with the process/analysis tool that is being matched. When deviated parameters correlate to a correctable tool condition (i.e. a tool matching event), the parameters are added to the library of key parameters. These key or critical parameters may be monitored on a more frequent basis to identify deviations that have a strong likelihood of matching with a correctable tool condition. The tool matching methodology advantageously allows for monitoring of an automatically updated list of key parameters instead of needing to look at the full set of parameters collected from a control wafer each time. As such, tool matching can be performed on a more frequent basis. | 12-04-2014 |
20140355643 | Method and System for Measuring Heat Flux - A heat flux sensor equipped measurement wafer includes a substrate, a cover thermally coupled to a portion of the substrate, a sensor cavity formed between the substrate and the cover, a thermal barrier disposed within at least a portion of the sensor cavity, a bottom temperature sensor thermally coupled to the substrate and insulated from the cover by a portion of the thermal barrier and a top temperature sensor thermally coupled to the cover and insulated from the substrate by an additional portion of the thermal barrier, wherein a temperature difference between the bottom temperature sensor and the top temperature sensor is related to a heat flux passing through the substrate and cover proximate to the sensor cavity. | 12-04-2014 |
20140354983 | APPARATUS AND METHODS FOR FINDING A BEST APERTURE AND MODE TO ENHANCE DEFECT DETECTION - Disclosed are methods and apparatus for optimizing a mode of an inspection tool. A first image or signal for each of a plurality of first apertures of the inspection tool is obtained, and each first image or signal pertains to a defect area. For each of a plurality of combinations of the first apertures and their first images or signals, a composite image or signal is obtained. Each composite image or signal is analyzed to determine an optimum one of the combinations of the first apertures based on a defect detection characteristic of each composite image. | 12-04-2014 |
20140353527 | Using Wafer Geometry to Improve Scanner Correction Effectiveness for Overlay Control - Systems and methods for providing improved scanner corrections are disclosed. Scanner corrections provided in accordance with the present disclosure may be referred to as wafer geometry aware scanner corrections. More specifically, wafer geometry and/or wafer shape signature information are utilized to improve scanner corrections. By removing the wafer geometry as one of the error sources that may affect the overlay accuracy, better scanner corrections can be obtained because one less contributing factor needs to be modeled. | 12-04-2014 |
20140353498 | System and Method of SEM Overlay Metrology - The present disclosure is directed to a method of performing SEM overlay metrology with scan direction substantially aligned with or parallel to feature placement or patterning of overlay target structures. By scanning target structures in the same or similar direction to the feature placement, blurring at the edges of interest is avoided and a line-to-line or edge-to-edge offset between pattern elements is less susceptible to error from blurring at scanned edges of interest. For example, at least two linear pattern elements corresponding to at least two sample layers may be scanned along or parallel to the direction of feature placement (i.e., along or parallel to long edges of the pattern elements). | 12-04-2014 |
20140341462 | MACHINE LEARNING METHOD AND APPARATUS FOR INSPECTING RETICLES - Apparatus and methods for inspecting a photolithographic reticle are disclosed. A reticle inspection tool is used at one or more operating modes to obtain images of a plurality of training regions of a reticle, and the training regions are identified as defect-free. Three or more basis training images are derived from the images of the training regions. A classifier is formed based on the three or more basis training images. The inspection system is used at the one or more operating modes to obtain images of a plurality of test regions of a reticle. Three or more basis test images are derived from to the test regions. The classifier is applied to the three or more basis test images to find defects in the test regions. | 11-20-2014 |
20140319342 | Method and System for Adaptively Scanning a Sample During Electron Beam Inspection - A system for adaptive electron beam scanning may include an inspection sub-system configured to scan an electron beam across the surface of a sample. The inspection sub-system may include an electron beam source, a sample stage, a set of electron-optic elements, a detector assembly and a controller communicatively coupled to one or more portions of the inspection sub-system. The controller may assess one or more characteristics of one or more portions of an area of the sample for inspection and, responsive to the assessed one or more characteristics, adjust one or more scan parameters of the inspection sub-system. | 10-30-2014 |
20140319340 | METHOD AND APPARATUS FOR DETECTING BURIED DEFECTS - One embodiment relates to a method of detecting a buried defect in a target microscopic metal feature. An imaging apparatus is configured to impinge charged particles with a landing energy such that the charged particles, on average, reach a depth within the target microscopic metal feature. In addition, the imaging apparatus is configured to filter out secondary electrons and detect backscattered electrons. The imaging apparatus is then operated to collect the backscattered electrons emitted from the target microscopic metal feature due to impingement of the charged particles. A backscattered electron (BSE) image of the target microscopic metal feature is compared with the BSE image of a reference microscopic metal feature to detect and classify the buried defect. Other embodiments, aspects and features are also disclosed. | 10-30-2014 |
20140318855 | MULTI-LAYER CERAMIC VACUUM TO ATMOSPHERE ELECTRIC FEED THROUGH - Embodiments of this invention use multi-layer ceramic substrate with one or more hermetically sealed and filled metal vias with smaller pitch and size in combination with flexible printed circuit cables and interposers to provide a custom electric feed through for vacuum to atmosphere chambers. This abstract is provided to comply with rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 10-30-2014 |
20140313516 | Reducing Registration Error of Front and Back Wafer Surfaces Utilizing a See-Through Calibration Wafer - A calibration wafer and a method for calibrating an interferometer system are disclosed. The calibration method includes: determining locations of the holes defined in the calibration wafer based on two opposite intensity frame; comparing the locations of the holes against the locations measured utilizing an external measurement device; adjusting a first optical magnification or a second optical magnification at least partially based on the comparison result; defining a distortion map for each of the first and second intensity frames based on the comparison of the locations of the holes; generating an extended distortion map for each of the first and second intensity frames by map fitting the distortion map; and utilizing the extended distortion map for each of the first and second intensity frames to reduce at least one of: a registration error or an optical distortion in a subsequent measurement process. | 10-23-2014 |
20140312916 | METHODS OF AND APPARATUSES FOR MEASURING ELECTRICAL PARAMETERS OF A PLASMA PROCESS - A sensor apparatus for measuring a plasma process parameter for processing a workpiece. The sensor apparatus includes a base, an information processor supported on or in the base, and at least one sensor supported on or in the base. The at least one sensor includes at least one sensing element configured for measuring an electrical property of a plasma and may include a transducer coupled to the at least one sensing element. The transducer can be configured to receive a signal from the sensing element and convert the signal into a second signal for input to the information processor. | 10-23-2014 |
20140307947 | Design Based Sampling and Binning for Yield Critical Defects - Methods and systems for design based sampling and binning for yield critical defects are provided. One method includes aligning each image patch in each inspection image frame generated for a wafer by an optical subsystem of an inspection system to design information for the wafer. The method also includes deriving multiple layer design attributes at locations of defects detected in the image patches. In addition, the method includes building a decision tree with the multiple layer design attributes. The decision tree is used to separate the defects into bins with different yield impacts on a device being formed on the wafer. The method also includes binning the defects with the decision tree. | 10-16-2014 |
20140307943 | INSPECTING HIGH-RESOLUTION PHOTOLITHOGRAPHY MASKS - Optical inspection methods and apparatus for high-resolution photomasks using only a test image. A filter is applied to an image signal received from radiation that is transmitted by or reflected from a photomask having a test image. The filter may be implemented using programmed control to adjust and control filter conditions, illumination conditions, and magnification conditions. | 10-16-2014 |
20140306115 | Debris Protection System For Reflective Optic Utilizing Gas Flow - The present disclosure is directed to a system for protecting a reflective optic and/or any other surface in a plasma-based illumination system from debris by actively flowing gas against the debris flow direction. According to various embodiments, a vacuum chamber is configured to contain a target material, wherein a laser or discharge produced plasma is generated in response to an excitation of the target material. One or more outlets within the chamber are configured to receive gas flowing from a fluidically coupled gas source and further configured to actively flow the gas towards a source of debris and away from the reflective optic or any other protected surface at a controlled flow rate. | 10-16-2014 |
20140305367 | Passivation of Nonlinear Optical Crystals - The passivation of a nonlinear optical crystal for use in an inspection tool includes growing a nonlinear optical crystal in the presence of at least one of fluorine, a fluoride ion and a fluoride-containing compound, mechanically preparing the nonlinear optical crystal, performing an annealing process on the nonlinear optical crystal and exposing the nonlinear optical crystal to a hydrogen-containing or deuterium-containing passivating gas. | 10-16-2014 |
20140303921 | DYNAMIC DESIGN ATTRIBUTES FOR WAFER INSPECTION - Methods and systems for dynamic design attributes for wafer inspection are provided. One method includes, at run time of a wafer inspection recipe, prompting a user of a wafer inspection tool on which the wafer inspection recipe is performed for information for a design based binning (DBB) process. The information includes one or more formulae for calculating design attributes from a design for a wafer. The design attributes are used to bin the defects in the DBB process. The method also includes performing inspection of a wafer according to an updated wafer inspection recipe. Performing the inspection includes binning defects detected on the wafer according to the DBB process in the updated wafer inspection recipe. | 10-09-2014 |
20140303912 | SYSTEM AND METHOD FOR THE AUTOMATIC DETERMINATION OF CRITICAL PARAMETRIC ELECTRICAL TEST PARAMETERS FOR INLINE YIELD MONITORING - Inline yield monitoring may include the use of one or more modules of algorithmic software. Inline yield monitoring may include the use of two related algorithmic software modules such as a learning and a prediction module. The learning module may learn critical PET (parametric electrical test) parameters from data of probe electrical test yields and PET attribute values. The critical PET parameters may best separate outliers and inliers in the yield data. The prediction module may use the critical PET parameters found by the learning module to predict whether a wafer is an inlier or an outlier in a probe test classification. | 10-09-2014 |
20140301630 | Adaptive Sampling for Semiconductor Inspection Recipe Creation, Defect Review, and Metrology - Methods and systems for adaptive sampling for semiconductor inspection recipe creation, defect review, and metrology are provided. The embodiments provide image processing and pattern recognition algorithms and an adaptive sampling method for extracting critical areas from SEM image patches for use in a wafer inspection system when design data for a semiconductor chip is not available. The embodiments also provide image processing and pattern recognition algorithms for efficiently discovering critical defects and significant deviations in the normal manufacturing process, using the output from a wafer inspection system and an adaptive sampling method to select wafer locations to be examined on a high resolution review or metrology tool. | 10-09-2014 |
20140301629 | High Accuracy Design Based Classification - Systems and methods for classifying defects on a wafer are provided. One method includes dilating an extended bounding box (EBB) surrounding a defect position on a wafer in two dimensions in proportion to a width and height of a pattern of interest (POI) for a hot spot closest to the defect position. The method also includes determining if polygons in the POI match polygons in the dilated bounding box. If the polygons in the POI do not match the polygons in the dilated bounding box, the defect is classified as a non-hot spot defect. If the polygons in the POI match the polygons in the dilated bounding box, the defect is classified as a hot spot defect if the area of the EBB intersects the area of interest associated with the hot spot and a non-hot spot defect if the EBB area does not intersect the area of interest. | 10-09-2014 |
20140300890 | APPARATUS AND METHODS FOR DETERMINING DEFECT DEPTHS IN VERTICAL STACK MEMORY - Disclosed are methods and apparatus for inspecting a vertical semiconductor stack of a plurality of layers is disclosed. The method includes (a) on a confocal tool, repeatedly focusing an illumination beam at a plurality of focus planes at a plurality of different depths of a first vertical stack, wherein a defect is located at an unknown one of the different depths and the illumination beam has a wavelength range between about 700 nm and about 950 nm, (b) generating a plurality of in-focus images for the different depths based on in-focus output light detected from the first vertical stack at the different depths, wherein out-of-focus output light is inhibited from reaching the detector of the confocal system and inhibited from contributing to generation of the in-focus images, and (c) determining which one of the different depths at which the defect is located in the first vertical stack based on the in-focus images. | 10-09-2014 |
20140299779 | OBLIQUE ILLUMINATOR FOR INSPECTING MANUFACTURED SUBSTRATES - One embodiment relates to an oblique illuminator. The oblique illuminator includes a light source emitting a light beam, a first reflective surface, and a second reflective surface. The first reflective surface has a convex cylindrical shape with a projected parabolic profile along the non-powered direction which is configured to reflect the light beam from the light source and which defines a focal line. The second reflective surface has a concave cylindrical shape with a projected elliptical profile which is configured to reflect the light beam from the first reflective surface and which defines first and second focal lines. The focal line of the first reflective surface is coincident with the first focal line of the second reflective surface. The first and second focal lines of the second reflective surface may be a same line in which case the elliptical curvature is a projected spherical profile. Other embodiments, aspects and features are also disclosed. | 10-09-2014 |
20140297211 | STATISTICAL MODEL-BASED METROLOGY - Methods and systems for creating a measurement model based on measured training data are presented. The trained measurement model is used to calculate process parameter values, structure parameter values, or both, directly from measured data collected from other wafers. The measurement models receive measurement data directly as input and provide process parameter values, structure parameter values, or both, as output. The measurement model enables the direct measurement of process parameters. Measurement data from multiple targets is collected for model building, training, and measurement. In some examples, the use of measurement data associated with multiple targets eliminates, or significantly reduces, the effect of under layers in the measurement result, and enables more accurate measurements. Measurement data collected for model building, training, and measurement, may be derived from measurements performed by a combination of multiple, different measurement techniques. | 10-02-2014 |
20140293291 | Wafer Shape and Thickness Measurement System Utilizing Shearing Interferometers - Interferometer systems and methods for measurement of shapes as well as their derivatives and thickness variations of wafers are disclosed. More specifically, shearing interferometry techniques are utilized in such measurement systems. The output of the measurement systems can be utilized to determine at least one of: a surface slope, a surface curvature, a surface height, a shape, and a thickness variation of the wafers. | 10-02-2014 |
20140291546 | Method and System for Controlling Convective Flow in a Light-Sustained Plasma - A system for controlling convective flow in a light-sustained plasma includes an illumination source configured to generate illumination, a plasma cell including a bulb for containing a volume of gas, a collector element arranged to focus illumination from the illumination source into the volume of gas in order to generate a plasma within the volume of gas contained within the bulb. Further, the plasma cell is disposed within a concave region of the collector element, where the collector element includes an opening for propagating a portion of a plume of the plasma to a region external to the concave region of the collect element. | 10-02-2014 |
20140291493 | Photomultiplier Tube, Image Sensor, And an Inspection System Using A PMT Or Image Sensor - A photomultiplier tube includes a semiconductor photocathode and a photodiode. Notably, the photodiode includes a p-doped semiconductor layer, an n-doped semiconductor layer formed on a first surface of the p-doped semiconductor layer to form a diode, and a pure boron layer formed on a second surface of the p-doped semiconductor layer. A gap between the semiconductor photocathode and the photodiode may be less than about 1 mm or less than about 500 μm. The semiconductor photocathode may include gallium nitride, e.g. one or more p-doped gallium nitride layers. In other embodiments, the semiconductor photocathode may include silicon. This semiconductor photocathode can further include a pure boron coating on at least one surface. | 10-02-2014 |
20140285657 | Inspection System Including Parallel Imaging Paths with Multiple and Selectable Spectral Bands - The present disclosure is directed to a system for inspecting a sample with multiple wavelengths of illumination simultaneously via parallel imaging paths. The system may include at least a first detector or set of detectors configured to detect illumination reflected, scattered, or radiated along a first imaging path from a selected portion of the sample in response to the first wavelength of illumination and a second detector or set of detectors configured to concurrently detect illumination reflected, scattered, or radiated along a second imaging path from the selected portion of the sample (i.e. the same location on the sample) in response to the second wavelength of illumination, where the second imaging path may at least partially share illumination and/or detection optics with an autofocus channel. | 09-25-2014 |
20140271084 | APPARATUS AND METHOD FOR AUTOMATIC PITCH CONVERSION OF PICK AND PLACE HEADS, PICK AND PLACE HEAD AND PICK AND PLACE DEVICE - An apparatus for automatic pitch conversion for pick and place heads, comprising at least one auto pitch station for adjusting a pitch in a X-coordinate direction and/or adjusting a pitch in a Y-coordinate direction of pickers/grippers of a pick and place heads; a first actuator, operated by a motor gear assembly of the at least one auto pitch station, wherein the first actuator changes the pitch in the X-coordinate direction distance between rows of pickers/grippers of the respective pick and place head; and a second actuator operated by the same motor gear assembly, wherein the second actuator changes the pitch in the Y-coordinate direction between the pickers/grippers within a row of the respective pick and place head. A method for automatic pitch conversion of pick and place heads and a pick and place head and a pick and place device. | 09-18-2014 |
20140270475 | Single Die Inspection on a Dark Field Inspection Tool - Systems and methods for detecting defects on a wafer are provided. One method includes generating output for a wafer by scanning the wafer with a dark field inspection system. The method also includes generating first image data for the wafer using the output and a first cell size and second image data for the wafer using the output and a second cell size. In addition, the method includes combining the first image data and the second image data corresponding to substantially the same locations on the wafer thereby creating additional image data for the wafer. The method further includes detecting defects on the wafer using the additional image data. | 09-18-2014 |
20140270474 | Detecting Defects on a Wafer - Methods and systems for detecting defects on a wafer are provided. One method includes determining difference values for pixels in first output for a wafer generated using a first optics mode of an inspection system and determining other values for pixels in second output for the wafer generated using a second optics mode of the inspection system. The first and second optics modes are different from each other. The method also includes generating a two-dimensional scatter plot of the difference values and the other values for the pixels in the first and second output corresponding to substantially the same locations on the wafer. The method further includes detecting defects on the wafer based on the two-dimensional scatter plot. | 09-18-2014 |
20140270471 | IMAGE SYNCHRONIZATION OF SCANNING WAFER INSPECTION SYSTEM - An inspection system comprises a beam generator module for deflecting spots across scan portions of a specimen. The system also includes detection channels for sensing light emanating from a specimen in response to an incident beam directed towards such specimen and generating a detected image for each scan portion. The system comprises a synchronization system comprising clock generator modules for generating timing signals for deflectors of the beam generator module to scan the spots across the scan portions at a specified frequency and each of the detection channels to generate the corresponding detected image at a specified sampling rate. The timing signals are generated based on a common system clock and cause the deflectors to scan the spots and the detection channels to generate a detected image at a synchronized timing so as to minimize jitter between the scan portions in the response image. | 09-18-2014 |
20140270469 | Method and System for Reference-Based Overlay Measurement - The present invention may include acquiring a plurality of reference measurement images from a plurality of reference overlay target sites of a wafer via a reference image sampling process, wherein the reference image sampling process includes acquiring one or more images at each of a plurality of reference overlay target sites of the at least one wafer, generating a reference image by combining the plurality of reference measurement images acquired from the plurality of reference overlay target sites of the wafer of the reference image sampling process; acquiring one or more measurement images from an overlay target site of the wafer via a measurement image sampling process and measuring a virtual overlay of the one or more measurement images by comparing the one or more measurement images acquired from the overlay target site of the wafer to the generated reference image. | 09-18-2014 |
20140268118 | Multi-Spot Defect Inspection System - The disclosure is directed to a system and method for inspecting a spinning sample by substantially simultaneously scanning multiple spots on a surface of the sample utilizing a plurality of illumination beams. Portions of illumination reflected, scattered, or radiated from respective spots on the surface of the sample are collected by at least one detector array. Information associated with at least one defect of the sample is determined by at least one computing system in communication with the detector array. According to various embodiments, at least one of scan pitch, spot size, spot separation, and spin rate is controlled to compensate pitch error due to tangential spot separation. | 09-18-2014 |
20140264051 | SEGMENTED MIRROR APPARATUS FOR IMAGING AND METHOD OF USING THE SAME - An apparatus for inspecting a photomask, comprising an illumination source for generating a light which illuminates a target substrate, objective optics for receiving and projecting the light which is reflected from the target substrate, the objective optics includes a first mirror arranged to receive and reflect the light which is reflected from the target substrate, a second mirror which is arranged to receive and reflect the light which is reflected by the first mirror, a third mirror which is arranged to receive and reflect the light which is reflected by the second mirror, and a segmented mirror which is arranged to receive and reflect the light which is reflected by the third mirror. The segmented mirror includes at least two mirror segments. The apparatus further includes at least one sensor for detecting the light which is projected by the objective optics. | 09-18-2014 |
20140261568 | System and Method for Cleaning Optical Surfaces of an Extreme Ultraviolet Optical System - The present invention provides a local clean microenvironment near optical surfaces of an extreme ultraviolet (EUV) optical assembly maintained in a vacuum process chamber and configured for EUV lithography, metrology, or inspection. The system includes one or more EUV optical assemblies including at least one optical element with an optical surface, a supply of cleaning gas stored remotely from the one or more optical assemblies and a gas delivery unit comprising: a plenum chamber, one or more gas delivery lines connecting the supply of gas to the plenum chamber, one or more delivery nozzles configured to direct cleaning gas from the plenum chamber to a portion of the EUV assembly, and one or more collection nozzles for removing gas from the EUV optical assembly and the vacuum process chamber. | 09-18-2014 |
20140257734 | PUPIL PLANE CALIBRATION FOR SCATTEROMETRY OVERLAY MEASUREMENT - Methods and calibrations modules are provided, for calibrating a pupil center in scatterometry overlay measurements. The calibration comprises calculating fluctuations from a first statistical figure of merit such as an average of an overlay signal per pixel at the pupil and significantly reducing, for example minimizing, the fluctuations with respect to a second statistical figure of merit thereof, such as a pupil weighted variance of the fluctuations. | 09-11-2014 |
20140253928 | THICKNESS CHANGE MONITOR WAFER FOR IN SITU FILM THICKNESS MONITORING - An etch rate monitor apparatus has a substrate, an optical element and one or more optical detectors mounted to a common substrate with the one or more detectors sandwiched between the substrate and optical element to detect changes in optical interference signal resulting from changes in optical thickness of the optical element. The optical element is made of a material that allows transmission of light of a wavelength of interest. A reference waveform and data waveform can be collected with the apparatus and cross-correlated to determine a thickness change. This abstract is provided to comply with rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 09-11-2014 |
20140253910 | System and Method for Reviewing a Curved Sample Edge - The disclosure is directed to a system and method for reviewing a curved edge of a sample. A line scan detector is actuated along an actuation path defined by the edge of the sample to scan a plurality of locations along the sample edge. The scan data is assembled to generate at least one review image of at least a portion of the edge of the sample. In some embodiments a substantially normal angle of incidence is maintained between the sample edge and the scanning illumination. In some embodiments, brightfield and darkfield images may be collected utilizing a common objective with separately operable illumination sources directing illumination along a first and second illumination path to the sample edge for review. | 09-11-2014 |
20140246607 | EUV LIGHT SOURCE USING CRYOGENIC DROPLET TARGETS IN MASK INSPECTION - An apparatus for generating extreme ultra-violet (EUV) light for use in a lithography inspection tool, comprising a drive laser arranged to produce a laser pulse, a vacuum chamber, a set of focusing optics arranged to focus the laser pulse produced by the drive laser onto a target spot within the vacuum chamber with a beam target diameter of less than 100 μm, a target material generator arranged to deliver an amount of a target material to the target spot within the vacuum chamber, and a set of collector optics arranged to focus a quantity of EUV light generated when the amount of the target material is exposed to the laser pulse at the target spot onto an intermediate focus spot. | 09-04-2014 |
20140241610 | Generalized Virtual Inspector - Generalized virtual inspectors are provided. One system includes two or more actual systems configured to perform one or more processes on specimen(s) while the specimen(s) are disposed within the actual systems. The system also includes one or more virtual systems coupled to the actual systems to thereby receive output generated by the actual systems and to send information to the actual systems. The virtual system(s) are configured to perform one or more functions using at least some of the output received from the actual systems. The virtual system(s) are not capable of having the specimen(s) disposed therein. | 08-28-2014 |
20140240951 | Systems for Providing Illumination in Optical Metrology - The disclosure is directed to systems for providing illumination to a measurement head for optical metrology. In some embodiments of the disclosure, illumination beams from a plurality of illumination sources are combined to deliver illumination at one or more selected wavelengths to the measurement head. In some embodiments of the disclosure, intensity and/or spatial coherence of illumination delivered to the measurement head is controlled. In some embodiments of the disclosure, illumination at one or more selected wavelengths is delivered from a broadband illumination source configured for providing illumination at a continuous range of wavelengths. | 08-28-2014 |
20140240562 | Integrated Multi-Channel Analog Front End And Digitizer For High Speed Imaging Applications - A module for high speed image processing includes an image sensor for generating a plurality of analog outputs representing an image and a plurality of HDDs for concurrently processing the plurality of analog outputs. Each HDD is an integrated circuit configured to process in parallel a predetermined set of the analog outputs. Each channel of the HDD can include an AFE for conditioning a signal representing one sensor analog output, an ADC for converting a conditioned signal into a digital signal, and a data formatting block for calibrations and formatting the digital signal for transport to an off-chip device. The HDDs and drive electronics are combined with the image sensor into one package to optimize signal integrity and high dynamic range, and to achieve high data rates through use of synchronized HDD channels. Combining multiple modules results in a highly scalable imaging subsystem optimized for inspection and metrology applications. | 08-28-2014 |
20140239805 | DC HIGH-VOLTAGE SUPER-RADIANT FREE-ELECTRON BASED EUV SOURCE - An array of spatially separated beamlets is produced by a corresponding array of charged particle emitters. Each emitter is at an electrostatic potential difference with respect to an immediately adjacent emitter in the array. The beamlets are converged laterally to form an charged particle beam. The beam is modulated longitudinally with infrared radiation to form a modulated beam. The charged particles in the modulated beam are bunched longitudinally to form a bunched beam. The bunched beam may be modulated with an undulator to generate a coherent radiation output. This abstract is provided to comply with rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 08-28-2014 |
20140219544 | Detecting Defects on a Wafer Using Defect-Specific and Multi-Channel Information - Methods and systems for detecting defects on a wafer using defect-specific and multi-channel information are provided. One method includes acquiring information for a target on a wafer. The target includes a pattern of interest (POI) formed on the wafer and a known defect of interest (DOI) occurring proximate to or in the POI. The method also includes detecting the known DOI in target candidates by identifying potential DOI locations based on images of the target candidates acquired by a first channel of an inspection system and applying one or more detection parameters to images of the potential DOI locations acquired by a second channel of the inspection system. Therefore, the image(s) used for locating potential DOI locations and the image(s) used for detecting defects can be different. | 08-07-2014 |
20140218503 | APPARATUS AND METHOD FOR OPTICAL INSPECTION, MAGNETIC FIELD AND HEIGHT MAPPING - A metrology system is configured to provide visual inspection of a workpiece, three-dimensional magnetic field map, and height measurement. A stage is configured to bring points of interest at the workpiece under the desired tool for measurement. The optical field, magnetic field, and height information can be used independently or together in order to correlate defects in the manufacturing process of the workpiece. This abstract is provided to comply with rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 08-07-2014 |
20140217299 | EUV High Throughput Inspection System For Defect Detection On Patterned EUV Masks, Mask Blanks, And Wafers - Inspection of EUV patterned masks, blank masks, and patterned wafers generated by EUV patterned masks requires high magnification and a large field of view at the image plane. An EUV inspection system can include a light source directed to an inspected surface, a detector for detecting light deflected from the inspected surface, and an optic configuration for directing the light from the inspected surface to the detector. In particular, the detector can include a plurality of sensor modules. Additionally, the optic configuration can include a plurality of mirrors that provide magnification of at least 100× within an optical path less than 5 meters long. In one embodiment, the optical path is approximately 2-3 meters long. | 08-07-2014 |
20140217298 | SPECTRAL PURITY FILTER AND LIGHT MONITOR FOR AN EUV ACTINIC RETICLE INSPECTION SYSTEM - An extreme ultraviolet (EUM) mask inspection system, comprising a light source to project EUV light along an optical axis, an illumination system to receive the EUV light from the source, the illumination system comprising a spectral purity filter (SPF), the SPF transmits a first portion of the EUV light along the optical axis toward a mask and the SPF comprising a plurality of at least partially reflective elements, said elements reflects a second portion of the EUV light off the optical axis, a projection system adapted to receive the first portion of the EUV light after it has illuminated the mask, a first detector array adapted to receive the image, and a second detector array to receive the second portion of the EUV light. The SPF may comprise one or more multilayer interference-type filters. Alternatively, the SPF comprises a thin film filter disposed on a grazing incidence mirror array. | 08-07-2014 |
20140212024 | CONTOUR-BASED ARRAY INSPECTION OF PATTERNED DEFECTS - One embodiment relates to a method of inspecting an array of cells on a substrate. A reference image is generated using a cell image that was previously determined to be defect free. A reference contour image which includes contours of the reference image is also generated. The reference contour image is used to detect defects in the array of cells on the substrate. Another embodiment relates to a system for detecting defects in an array on a substrate. Other embodiments, aspects and features are also disclosed. | 07-31-2014 |
20140205179 | RETICLE DEFECT INSPECTION WITH SYSTEMATIC DEFECT FILTER - Disclosed are methods and apparatus for inspecting a photolithographic reticle. A stream of defect data is received from a reticle inspection system, wherein the defect data identifies a plurality of defects that were detected for a plurality of different portions of the reticle. Before reviewing the defect data to determine whether the reticle passes inspection and as the stream of defect data continues to be received, some of the defects are automatically grouped with other most recently one or more received defects so as form groups of substantially matching defects. Before reviewing the defect data to determine whether the reticle passes inspection and after all of the defect data for the reticle is received, one or more of the groups of defects that have a number above a predetermined threshold are automatically filtered from the defect data so as to form filtered defect data. The filtered defect data may then be provided to a review station for determining whether the reticle passes. | 07-24-2014 |
20140204963 | 193NM Laser And Inspection System - A laser for generating an output wavelength of approximately 193.4 nm includes a fundamental laser, an optical parametric generator, a fourth harmonic generator, and a frequency mixing module. The optical parametric generator, which is coupled to the fundamental laser, can generate a down-converted signal. The fourth harmonic generator, which may be coupled to the optical parametric generator or the fundamental laser, can generate a fourth harmonic. The frequency mixing module, which is coupled to the optical parametric generator and the fourth harmonic generator, can generate a laser output at a frequency equal to a sum of the fourth harmonic and twice a frequency of the down-converted signal. | 07-24-2014 |
20140202267 | METHODS OF ATTACHING A MODULE ON WAFER SUBSTRATE - Aspects of the present disclosure describe an attachment device for mounting a module to a substrate comprises a module leg with two ends and a module foot. One end of the module leg is configured to be attached to a bottom surface of the module and the other end of the module leg is configured to be attached to the module foot. At least a portion of the module foot is configured to be attached to the substrate. Also a portion of a surface area of the module foot is configured to be exposed outside of an area covered by the module. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 07-24-2014 |
20140199791 | Method and System for Universal Target Based Inspection and Metrology - Universal target based inspection drive metrology includes designing a plurality of universal metrology targets measurable with an inspection tool and measurable with a metrology tool, identifying a plurality of inspectable features within at least one die of a wafer using design data, disposing the plurality of universal targets within the at least one die of the wafer, each universal target being disposed at least proximate to one of the identified inspectable features, inspecting a region containing one or more of the universal targets with an inspection tool, identifying one or more anomalistic universal targets in the inspected region with an inspection tool and, responsive to the identification of one or more anomalistic universal targets in the inspected region, performing one or more metrology processes on the one or more anomalistic universal metrology targets with the metrology tool. | 07-17-2014 |
20140199618 | METHODS OF MEASURING OVERLAY ERRORS IN AREA-IMAGING E-BEAM LITHOGRAPHY - One embodiment relates to a method of measuring overlay errors for a programmable pattern, area-imaging electron beam lithography apparatus. Patterned cells of an overlay measurement target array may be printed in swaths such that they are superposed on patterned cells of a first (base) array. In addition, the overlay array may have controlled-exposure areas distributed within the swaths. The superposed cells of the overlay and base arrays are imaged. The overlay errors are then measured based on distortions between the two arrays in the image data. Alternatively, non-imaging methods, such as using scatterometry, may be used. Another embodiment relates to a method for correcting overlay errors for an electron beam lithography apparatus. Overlay errors for a pattern to be printed are determined based on within-swath exposure conditions. The pattern is then pre-distorted to compensate for the overlay errors. Other embodiments, aspects and features are also disclosed. | 07-17-2014 |
20140198818 | Alleviation Of Laser-Induced Damage In Optical Materials By Suppression Of Transient Color Centers Formation And Control Of Phonon Population - Laser-induced damage in an optical material can be mitigated by creating conditions at which light absorption is minimized. Specifically, electrons populating defect energy levels of a band gap in an optical material can be promoted to the conduction band—a process commonly referred to as bleaching. Such bleaching can be accomplished using a predetermined wavelength that ensures minimum energy deposition into the material, ideally promoting electron to just inside the conduction band. In some cases phonon (i.e. thermal) excitation can also be used to achieve higher depopulation rates. In one embodiment, a bleaching light beam having a wavelength longer than that of the laser beam can be combined with the laser beam to depopulate the defect energy levels in the band gap. The bleaching light beam can be propagated in the same direction or intersect the laser beam. | 07-17-2014 |
20140197733 | APPARATUS AND METHOD FOR MULTIPLEXED MULTIPLE DISCHARGE PLASMA PRODUCED SOURCES - An apparatus for producing EUV light, including: a plate with pluralities of through-bores; at least one power system; and a plurality of discharge plasma devices disposed in the through-bores. Each device includes: a respective plasma electrode forming at least part of a respective plasma-producing region; a respective magnetic core embedded in the plate and aligned with the respective plasma electrode in a radial direction and configured to create a respective magnetic field within the respective plasma-producing region; and a respective feed system arranged to supply an ionizable material to the respective plasma-producing region. The power system is configured to supply electrical power to the plasma electrodes to create respective electric fields in the respective plasma-producing regions. The combination of the respective electric field and the respective magnetic fields is arranged to create respective plasma from the ionizable material, the respective plasma creating respective EUV light. | 07-17-2014 |
20140195992 | Determining a Position of Inspection System Output in Design Data Space - Systems and methods for determining a position of output of an inspection system in design data space are provided. One method includes merging more than one feature in design data for a wafer into a single feature that has a periphery that encompasses all of the features that are merged. The method also includes storing information for the single feature without the design data for the features that are merged. The information includes a position of the single feature in design data space. The method further includes aligning output of an inspection system for the wafer to the information for the single feature such that positions of the output in the design data space can be determined based on the design data space position of the single feature. | 07-10-2014 |
20140193065 | Detecting Defects on a Wafer Using Template Image Matching - Various embodiments for detecting defects on a wafer are provided. Some embodiments include matching a template image, in which at least some pixels are associated with regions in the device having different characteristics, to output of an electron beam inspection system and applying defect detection parameters to pixels in the output based on the regions that the pixels in the output are located within to thereby detect defects on the wafer. | 07-10-2014 |
20140192840 | HIGH TEMPERATURE SENSOR WAFER FOR IN-SITU MEASUREMENTS IN ACTIVE PLASMA - Aspects of the present disclosure disclose a component module in a process condition measuring device comprises a support for supporting a component, one or more legs configured to suspend the support in a spaced-apart relationship with respect to a substrate. An electrically conductive or low-resistivity semiconductor enclosure is configured to enclose the component, the support and the legs between the substrate and the enclosure. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 07-10-2014 |
20140185919 | Detecting Defects on a Wafer - Methods and systems for detecting defects on a wafer are provided. One method includes identifying one or more characteristics of first raw output generated for a wafer that correspond to one or more geometrical characteristics of patterned features formed on the wafer and assigning individual output in second raw output generated for the wafer to different segments based on the identified one or more characteristics of the first raw output and based on the individual output in the second raw output and individual output in the first raw output that were generated at substantially the same locations on the wafer such that the one or more geometrical characteristics of the patterned features that correspond to each of the different segments in the second raw output are different. | 07-03-2014 |
20140185136 | MULTI DIRECTIONAL ILLUMINATION FOR A MICROSCOPE AND MICROSCOPE - A dome illumination for a microscope and a microscope are disclosed. At least one objective lens carries a dome at a free end, wherein the free end of the objective lens is facing a surface of the object. At least one light source is arranged such that an illumination is provided to the dome when the objective lens is positioned in an optical axis or working position of the microscope. | 07-03-2014 |
20140175308 | ADAPTABLE ILLUMINATING APPARATUS, SYSTEM, AND METHOD FOR EXTREME ULTRA-VIOLET LIGHT - An apparatus for focusing light in a semi-conductor inspection system, including: a first mirror arranged to reflect extreme ultra-violet (EUV) generated by a plasma source; and a second mirror arranged to focus the EUV light, reflected from the first mirror, onto a first intermediate focus plane. A homogenizing tunnel, including: a first aperture having a first shape and a first size and arranged to receive extreme ultra-violet (EUV) light; a second aperture having a second shape and a second size; and a passageway connecting the first and second apertures and arranged to homogenize the EUV light received by the first aperture. The first shape is different from the second shape or the first size is different from the second size. | 06-26-2014 |
20140168774 | Generating an Array of Spots on Inclined Surfaces - A system which may be used to generate a plurality of spots on a surface is provided. The spots may be aligned with the incident plane of oblique illumination. The system may include a diffractive optical element configured to split a beam into a plurality of beams by generating a plurality of diffraction orders. The system may also include a focusing lens configured to focus at least some of the plurality of beams on the surface in the plurality of spots. At least some of the plurality of beams may be focused on the surface at an oblique illumination angle. The system may also include an illumination source positioned off-axis relative to an optical axis of the diffractive optical element. Using the system, a plurality of spots may be generated on an inclined surface. | 06-19-2014 |
20140168758 | CARBON AS GRAZING INCIDENCE EUV MIRROR AND SPECTRAL PURITY FILTER - A mirror for reflecting extreme ultraviolet light (EUV) comprising: a substrate layer; and an upper layer above the substrate layer, that reflects EUV wavelengths and refracts longer wavelengths, said upper layer being dense and hard carbon having an Sp2 to Sp3 carbon bond ratio of 0 to about 3 and a normal incidence EUV mirror comprising an optical coating on an uppermost surface which permits transmission of EUV and protects the surface from environmental degradation, said coating being dense and hard and having an Sp2 carbon bond ratio of 0 to about 3 and a thickness of 0.1 to about 5 nanometers. The invention also includes EUV mirror systems protected by a dense carbon layer and includes a multilayer EUV reflecting system having an out of band absorbing layer. | 06-19-2014 |
20140168418 | DELTA DIE INTENSITY MAP MEASUREMENT - With an optical inspection tool, images of a plurality of patches of a plurality of dies of a reticle are obtained. The patch images are obtained so that each patch image is positioned relative to a same reference position within its respective die as another die-equivalent one of the patch images in each the other ones of the dies. For each patch image, an integrated value is determined for an image characteristic of sub-portions of such patch image. For each patch image, a reference value is determined based on the integrated values of the patch image's corresponding die-equivalent patch images. For each patch image, a difference between that patch image's integrated value and an average or median value of its die-equivalent patch images is determined whereby a significant difference indicates a variance in a pattern characteristic of a patch and an average or median pattern characteristic of its die-equivalent patches. | 06-19-2014 |
20140166051 | APPARATUS, SYSTEM, AND METHOD FOR SEPARATING GASES AND MITIGATING DEBRIS IN A CONTROLLED PRESSURE ENVIRONMENT - An assembly, including: a nozzle including a first chamber with a first orifice arranged to receive a stream of gas; a second chamber with a second orifice to emit the stream; a throat connecting the nozzle chambers; and a collector including: top and bottom walls with first and second openings; a third chamber bounded by the top and bottom walls and including a third opening connected to the second orifice to receive the stream; and a fourth opening. The first chamber tapers from the first orifice to the throat. The second chamber expands in size from the throat to the second orifice. The third chamber expands in size from the third opening to the fourth opening. The collector is arranged to: entrain, in the stream, debris entering the third chamber through first or second opening; and emit the stream, with the entrained debris, from the fourth opening. | 06-19-2014 |
20140158894 | METHOD AND DEVICE USING PHOTOELECTRONS FOR IN-SITU BEAM POWER AND STABILITY MONITORING IN EUV SYSTEMS - The invention presented is a real time EUV illumination metrology device that includes at least one pair of electrodes mounted on an insulator substrate with an aperture defined by the at least one pair of electrodes and/or the insulator substrate. The electrodes of each of the pairs of electrodes are separated by an arc suppression distance. In one alternate embodiment, the metrology device includes four pairs of electrodes. The device may also include a voltage biasing component to divert unwanted electrons that may distort illumination measurement. Also presented is an EUV illumination system incorporating the metrology device. One object of the invention is to provide a system of real time measurement of an EUV illumination beam. | 06-12-2014 |
20140158864 | Method And Apparatus For High Speed Acquisition Of Moving Images Using Pulsed Illumination - A method of operating an image sensor with a continuously moving object is described. In this method, a timed delay integration mode (TDI-mode) operation can be performed during an extended-time illumination pulse. During this TDI-mode operation, charges stored by pixels of the image sensor are shifted only in a first direction, and track the image motion. Notably, a split-readout operation is performed only during non-illumination. During this split-readout operation, first charges stored by first pixels of the image sensor are shifted in the first direction and second charges stored by second pixels of the image sensor are concurrently shifted in a second direction, the second direction being opposite to the first direction. | 06-12-2014 |
20140153814 | Method and System for Mixed Mode Wafer Inspection - Mixed-mode includes receiving inspection results including one or more images of a selected region of the wafer, the one or more images include one or more wafer die including a set of repeating blocks, the set of repeating blocks a set of repeating cells. In addition, mixed-mode inspection includes adjusting a pixel size of the one or more images to map each cell, block and die to an integer number of pixels. Further, mixed-mode inspection includes comparing a first wafer die to a second wafer die to identify an occurrence of one or more defects in the first or second wafer die, comparing a first block to a second block to identify an occurrence of one or more defects in the first or second blocks and comparing a first cell to a second cell to identify an occurrence of one or more defects in the first or second cells. | 06-05-2014 |
20140153596 | Semiconductor Inspection And Metrology System Using Laser Pulse Multiplier - A pulse multiplier includes a beam splitter and one or more mirrors. The beam splitter receives a series of input laser pulses and directs part of the energy of each pulse into a ring cavity. After circulating around the ring cavity, part of the pulse energy leaves the ring cavity through the beam splitter and part of the energy is recirculated. By selecting the ring cavity optical path length, the repetition rate of an output series of laser pulses can be made to be a multiple of the input repetition rate. The relative energies of the output pulses can be controlled by choosing the transmission and reflection coefficients of the beam splitter. This pulse multiplier can inexpensively reduce the peak power per pulse while increasing the number of pulses per second with minimal total power loss. | 06-05-2014 |
20140152976 | System and Method for Detecting Cracks in a Wafer - A wafer crack detection system includes a rotational wafer stage assembly configured to secure a wafer and selectively rotate the wafer, a light source positioned on a first side of the wafer and configured to direct a light beam through the wafer, a sensor positioned on a second side of the wafer and configured to monitor one or more characteristics of light transmitted through the wafer as the wafer is rotated, and a controller communicatively coupled to the sensor and a portion of the rotational wafer stage assembly, the controller configured to: determine the presence of one or more cracks in the wafer based on the monitored one or more characteristics of light transmitted through the wafer, and, responsive to the determination of the presence of one or more cracks in the wafer, direct the rotational stage assembly to adjust the rotational condition of the wafer. | 06-05-2014 |
20140151580 | METHODS OF USING POLISHED SILICON WAFER STRIPS FOR EUV HOMOGENIZER - The present invention is a light homogenizer or light tunnel with highly reflective sides that enable the focusing of EUV illumination. The sides of the homogenizer are cut from a highly polished silicon wafer. The wafer is coated with a reflective coating before the strips are cut from the wafer. The invention also includes a method for flattening the strips and applying a backing to the strips enabling easier manipulation of the strips during assembly and use. | 06-05-2014 |
20140151552 | TILT-IMAGING SCANNING ELECTRON MICROSCOPE - One embodiment relates to a tilt-imaging scanning electron microscope apparatus. The apparatus includes an electron gun, first and second deflectors, an objective electron lens, and a secondary electron detector. The first deflector deflects the electron beam away from the optical axis, and the second deflector deflects the electron beam back towards the optical axis. The objective lens focuses the electron beam onto a spot on a surface of a target substrate, wherein the electron beam lands on the surface at a tilt angle. Another embodiment relates to a method of imaging a surface of a target substrate using an electron beam with a trajectory tilted relative to a substrate surface. Other embodiments and features are also disclosed. | 06-05-2014 |
20140151551 | METHODS AND APPARATUS FOR MEASUREMENT OF RELATIVE CRITICAL DIMENSIONS - One embodiment relates to a method of measuring a relative critical dimension (RCD) during electron beam inspection of a target substrate. A reference image is obtained. A region of interest is defined in the reference image. A target image is obtained using an electron beam imaging apparatus. The target and reference images are aligned, and the region of interest is located in the target image. Measurement is then made of the RCD within the region of interest in the target image. Another embodiment relates to a method of measuring a RCD which involves scanning along a scan length that is perpendicular to the RCD. Point RCDs along the scan length are measured. A filter is applied to the point RCDs, and an average of the point RCDs is computed. Other embodiments, aspects and features are also disclosed. | 06-05-2014 |
20140141536 | Method and System for Providing a Target Design Displaying High Sensitivity to Scanner Focus Change - A segmented mask includes a set of cell structures, wherein each cell structure includes a set of features having an unresolvable segmentation pitch along a first direction, wherein the unresolvable segmentation pitch along the first direction is smaller than the illumination of the lithography printing tool, wherein the plurality of cell structures have a pitch along a second direction perpendicular to the first direction, wherein the unresolvable segmentation pitch is suitable for generating a printed pattern for shifting the best focus position of the lithography tool by a selected amount to achieve a selected level of focus sensitivity. | 05-22-2014 |
20140139822 | Multi-Spectral Defect Inspection for 3D Wafers - Multi-spectral defect inspection for 3D wafers is provided. One system configured to detect defects in one or more structures formed on a wafer includes an illumination subsystem configured to direct light in discrete spectral bands to the one or more structures formed on the wafer. At least some of the discrete spectral bands are in the near infrared (NIR) wavelength range. Each of the discrete spectral bands has a bandpass that is less than 100 nm. The system also includes a detection subsystem configured to generate output responsive to light in the discrete spectral bands reflected from the one or more structures. In addition, the system includes a computer subsystem configured to detect defects in the one or more structures on the wafer using the output. | 05-22-2014 |
20140136164 | ANALYTIC CONTINUATIONS TO THE CONTINUUM LIMIT IN NUMERICAL SIMULATIONS OF WAFER RESPONSE - Simulations of metrology measurements of a structure may be performed on a metrology model of the structure at two or more different truncation orders up to a maximum truncation order. The simulation results can be fitted to a function of a form that reflects the fact that a truncation order of infinity is an analytic point that admits Taylor series expansion. The function can be extrapolated to a truncation order approaching infinity limit to obtain a high fidelity result. Fitted parameters for the function can be obtained using simulation results for two or more truncation orders that are less than the maximum truncation by fitting the simulation results for the truncation orders to the function. A simulated metrology signal can be obtained by performing a simulation using an optimized truncation order that is less than the maximum truncation order, the function and the one or more fitted parameters. | 05-15-2014 |
20140136137 | METROLOGY TARGET CHARACTERIZATION - Methods and systems are provided, which identify specified metrology target abnormalities using selected metrics and classify the identified target abnormalities geometrically to link them to corresponding sources of error. Identification may be carried out by deriving target signals such as kernels from specified regions of interest (ROIs) from corresponding targets on a wafer, calculating the metrics from the target signals using respective functions and analyzing the metrics to characterize the targets. | 05-15-2014 |
20140133737 | Unbiased Wafer Defect Samples - Methods and systems for generating unbiased wafer defect samples are provided. One method includes selecting the defects detected by each of multiple scans performed on a wafer that have the most diversity in one or more defect attributes such that a diverse set of defects are selected across each scan. In addition, the method may include selecting the defects such that any defect that is selected and is common to two or more of the scans is not selected twice and any defects that are selected are diverse with respect to the common, selected defect. Furthermore, no sampling, binning, or classifying of the defects may be performed prior to selection of the defects such that the sampled defects are unbiased by any sampling, binning, or classifying method. | 05-15-2014 |
20140133158 | EXTERNAL BEAM DELIVERY SYSTEM FOR LASER DARK-FIELD ILLUMINATION IN A CATADIOPTRIC OPTICAL SYSTEM - A catadioptric objective configured to inspect a specimen is provided. The catadioptric objective includes a Mangin element having one surface at a first axial location and an extension element positioned together with the Mangin element. The extension element provides a second surface at a second axial location. Certain light energy reflected from the specimen passes to the second surface of the extension element, the Mangin element, and through a plurality of lenses. An aspheric surface may be provided, and light energy may be provided to the specimen using diverting elements such as prisms or reflective surfaces. | 05-15-2014 |
20140131586 | Phase Grating For Mask Inspection System - Spectral Purity Filters, or SPFs, are disclosed. Such SPFs are designed to block out the 1030 nm drive laser and other undesired out of band light in a EUV mask inspection system. Different phase grating configurations for near normal incidence and grazing incidence are provided in the present disclosure and are configured specifically for EUV mask inspection. | 05-15-2014 |
20140125978 | Film Thickness, Refractive Index, and Extinction Coefficient Determination for Film Curve Creation and Defect Sizing in Real Time - The present disclosure is directed to a method for inspecting a wafer, the wafer including a film deposited on a surface of the wafer. The film may have a thickness that varies over the surface of the wafer. The method includes the step of measuring the thickness, refractive index, and extinction coefficient of the film across the surface of the wafer. With this data a film curve is created in real time. The method also includes the step of determining a size of a defect on the surface based on at least the film curve. | 05-08-2014 |
20140122654 | AUTOMATED INTERFACE APPARATUS AND METHOD FOR USE IN SEMICONDUCTOR WAFER HANDLING SYSTEMS - Aspects of the present disclosure describe a smart docking station. The smart docking station may contain a data transfer and an electrical connection which allow a sensor wafer to be charged and to upload and download data. The smart docking station may be located at an off-track storage position above a tool. This location enables an automated materials handling system (AMHS) to retrieve the sensor wafer and deliver it to a tool requiring analysis. The sensor wafer may be stored in a smart front opening unified pod (FOUP). It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 05-01-2014 |
20140118730 | Sample Inspection System Detector - Methods and systems for enhancing the dynamic range of a high sensitivity inspection system are presented. The dynamic range of a high sensitivity inspection system is increased by directing a portion of the light collected from each pixel of the wafer inspection area toward an array of avalanche photodiodes (APDs) operating in Geiger mode and directing another portion of the light collected from each pixel of the wafer inspection area toward another array of photodetectors having a larger range. The array of APDs operating in Geiger mode is useful for inspection of surfaces that generate extremely low photon counts, while other photodetectors are useful for inspection of larger defects that generate larger numbers of scattered photons. In some embodiments, the detected optical field is split between two different detectors. In some other embodiments, a single detector includes both APDs operating in Geiger mode and other photodetectors having a larger range. | 05-01-2014 |
20140118729 | Illumination Energy Management in Surface Inspection - The disclosure is directed to a system and method of managing illumination energy applied to illuminated portions of a scanned wafer to mitigate illumination-induced damage without unnecessarily compromising SNR of an inspection system. The wafer may be rotated at a selected spin frequency for scanning wafer defects utilizing the inspection system. Illumination energy may be varied over at least one scanned region of the wafer as a function of radial distance of an illuminated portion from the center of the wafer and the selected spin frequency of the wafer. Illumination energy may be further applied constantly over one or more scanned regions of the wafer beyond a selected distance from the center of the wafer. | 05-01-2014 |
20140114597 | Systems, Methods and Metrics for Wafer High Order Shape Characterization and Wafer Classification Using Wafer Dimensional Geometry Tool - Systems and methods for improving results of wafer higher order shape (HOS) characterization and wafer classification are disclosed. The systems and methods in accordance with the present disclosure are based on localized shapes. A wafer map is partitioned into a plurality of measurement sites to improve the completeness of wafer shape representation. Various site based HOS metric values may be calculated for wafer characterization and/or classification purposes, and may also be utilized as control input for a downstream application. In addition, polar grid partitioning schemes are provided. Such polar grid partitioning schemes may be utilized to partition a wafer surface into measurement sites having uniform site areas while providing good wafer edge region coverage. | 04-24-2014 |
20140111799 | DEEP ULTRA-VIOLET LIGHT SOURCES FOR WAFER AND RETICLE INSPECTION SYSTEMS - Disclosed are methods and apparatus for generating a sub-208 nm laser. A laser apparatus includes one or more seed radiation sources for generating a first radiation beam having a first fundamental wavelength on a first optical path and a second radiation beam having a second fundamental wavelength on a second optical path, a first amplifier for amplifying the first radiation beam, a second amplifier for amplifying the second radiation beam, and a wavelength conversion module comprising a plurality of crystals for frequency multiplying and mixing the amplified first and second radiation beams to produce an output beam at a fifth harmonic that is less than about 208 nm. | 04-24-2014 |
20140107998 | System and Method to Emulate Finite Element Model Based Prediction of In-Plane Distortions Due to Semiconductor Wafer Chucking - Systems and methods for prediction of in-plane distortions (IPD) due to wafer shape in semiconductor wafer chucking process is disclosed. A process to emulate the non-linear finite element (FE) contact mechanics model based IPD prediction is utilized in accordance with one embodiment of the present disclosure. The emulated FE model based prediction process is substantially more efficient and provides accuracy comparable to the FE model based IPD prediction that utilizes full-scale 3-D wafer and chuck geometry information and requires computation intensive simulations. Furthermore, an enhanced HOS IPD/OPD prediction process based on a series of Zernike basis wafer shape images is also disclosed. | 04-17-2014 |
20140105482 | Detecting Defects on a Wafer Using Defect-Specific Information - Methods and systems for detecting defects on a wafer using defect-specific information are provided. One method includes acquiring information for a target on a wafer. The target includes a pattern of interest formed on the wafer and a known DOI occurring proximate to or in the pattern of interest. The information includes an image of the target on the wafer. The method also includes searching for target candidates on the wafer or another wafer. The target candidates include the pattern of interest. The target and target candidate locations are provided to defect detection. In addition, the method includes detecting the known DOI in the target candidates by identifying potential DOI locations in images of the target candidates and applying one or more detection parameters to images of the potential DOI locations. | 04-17-2014 |
20140098363 | SYSTEM AND METHOD FOR DETERMINING SIZE AND LOCATION OF MINIMUM BEAM SPOT - The disclosure is directed to a system and method for determining at least one characteristic of an illumination beam emanating from an illumination source. A substrate having a plurality of apertures may be actuated through an illumination beam so that apertures at different spatial offsets are scanned through the illumination beam at one or more levels of focus. Portions of illumination directed through scanned apertures may be received by at least one detector. At least one characteristic of the illumination beam may be extracted from data points associated with intensity levels associated with detected portions of illumination. Furthermore, multiple determinations of a beam characteristic made over a period of time may be utilized to calibrate the illumination source. | 04-10-2014 |
20140097362 | System and Method for Compressed Data Transmission in a Maskless Lithography System - Compression, transmission and decompression of gray-tone imagery data includes receiving a gray-tone image suitable for printing at least a portion of a pattern onto a substrate by operation of an electron beam lithography system, aggregating sets of lines of the gray-tone image into trilines, sequentially encoding each of the trilines of the gray-tone image by operation of one or more encoders, the one or more encoders equipped with a codebook configured to store a plurality of triline fragments and a write location and transmitting the encoded trilines of the gray-tone image to a set of decoders of the digital pattern generator via a set of data pathways established between the one or more encoders and each of the decoders. | 04-10-2014 |
20140086475 | Model-Based Registration and Critical Dimension Metrology - A method and system for performing model-based registration and critical dimension measurement is disclosed. The method includes: utilizing an imaging device to obtain at least one optical image of a measurement site specified for a photomask; retrieving a design of photomask and utilizing a computer model of the imaging device to generate at least one simulated image of the measurement site; adjusting at least one parameter of the computer model to minimize dissimilarities between the simulated images and the optical images, wherein the parameters includes at least a pattern registration parameter or a critical dimension parameter; and reporting the pattern registration parameter or the critical dimension parameter of the computer model when dissimilarities between the simulated images and the optical images are minimized. | 03-27-2014 |
20140072203 | Selecting Parameters for Defect Detection Methods - Computer-implemented methods, computer-readable media, and systems for selecting one or more parameters for a defect detection method are provided. One method includes selecting one or more parameters of a defect detection method using an optimization function and information for a set of classified defects, which includes defects of interest and nuisance defects, such that the one or more parameters satisfy an objective for the defect detection method. | 03-13-2014 |
20140071520 | Solid State Illumination Source And Inspection System - An exemplary illumination source for an inspection system includes a pulsed seed laser having a wavelength of approximately 1104 nm and a continuous wave, Raman seed laser having a wavelength of approximately 1160 nm. An optical coupler can combine outputs of the pulsed seed laser and the continuous wave, Raman seed laser. Pre-amplification stages can receive an output of the optical coupler. A power amplifier can receive an output of the pre-amplification stages. A sixth harmonic can be generated using the amplified, combined wavelength. Systems for inspecting a specimen such as a reticle, photomask or wafer can include one of the illumination sources described herein. | 03-13-2014 |
20140071457 | Method and System for Tilt and Height Control of a Substrate Surface in an Inspection System - A system for substrate tilt and focus control in an inspection system includes a dynamically actuatable substrate stage assembly including a substrate stage for securing a substrate; a tilt-height detection system including: a height detection sub-system and a tilt detection sub-system. The system further includes a first actuator configured to selectably actuate the substrate along a direction perpendicular to the surface of the substrate at a location of the substrate stage assembly; and an additional actuator configured to selectably actuate the substrate along a direction substantially perpendicular to the surface of the substrate at an additional location of the substrate stage assembly; and a MIMO tilt-focus controller communicatively coupled to the height detection sub-system, the tilt detection sub-system, the first actuator and the additional actuator. | 03-13-2014 |
20140071437 | Monitoring Incident Beam Position in a Wafer Inspection System - Methods, systems, and structures for monitoring incident beam position in a wafer inspection system are provided. One structure includes a feature formed in a chuck configured to support a wafer during inspection by the wafer inspection system. The chuck rotates the wafer in a theta direction and simultaneously translates the wafer in a radial direction during the inspection. An axis through the center of the feature is aligned with a radius of the chuck such that a position of the axis relative to an incident beam of the wafer inspection system indicates changes in the incident beam position in the theta direction. | 03-13-2014 |
20140067346 | Photoresist Simulation - A processor based method for measuring dimensional properties of a photoresist profile by determining a number acid generators and quenchers within a photoresist volume, determining a number of photons absorbed by the photoresist volume, determining a number of the acid generators converted to acid, determining a number of acid and quencher reactions within the photoresist volume, calculating a development of the photoresist volume, producing with the processor a three-dimensional simulated scanning electron microscope image of the photoresist profile created by the development of the photoresist volume, and measuring the dimensional properties of the photoresist profile. | 03-06-2014 |
20140065736 | DEVICE CORRELATED METROLOGY (DCM) FOR OVL WITH EMBEDDED SEM STRUCTURE OVERLAY TARGETS - Aspects of the present disclosure describe a target for use in measuring a relative position between two substantially coplanar layers of a device. The target includes periodic structures in first and second layers. Differences in relative position of the first and the second layers between the first and second periodic structures and the respective device-like structure can be measured to correct the relative position of the first and the second layers between the first and second periodic structures. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 03-06-2014 |
20140065637 | Determining Information for Cells - Systems, methods, and devices for determining information for cells are provided. The systems, methods, and devices are configured such that information for more than 100,000 cells can be determined in a single run. The devices are configured to immobilize the cells. The devices also include features that can be used by the systems and methods for determining and tracking the positions of each of the cells in the device on a cell-by-cell basis. The systems and methods are configured for substantially high resolution of the cells while the cells are immobilized in the device. In addition, environmental control subsystems are provided that can control an environment of the cells while the device is positioned in the system or the method is being performed without altering positions of the cells within the device. | 03-06-2014 |
20140063502 | Image Intensifier Tube Design for Aberration Correction and Ion Damage Reduction - The disclosure is directed to image intensifier tube designs for field curvature aberration correction and ion damage reduction. In some embodiments, electrodes defining an acceleration path from a photocathode to a scintillating screen are configured to provide higher acceleration for off-axis electrons along at least a portion of the acceleration path. Off-axis electrons and on-axis electrons are accordingly focused on the scintillating screen with substantial uniformity to prevent or reduce field curvature aberration. In some embodiments, the electrodes are configured to generate a repulsive electric field near the scintillating screen to prevent secondary electrons emitted or deflected by the scintillating screen from flowing towards the photocathode and forming damaging ions. | 03-06-2014 |
20140063478 | Focus Recipe Determination for a Lithographic Scanner - The present disclosure is directed to a method of determining one or more focus values for a lithographic scanner. According to an embodiment, an optical signal including at least a first variable and a second variable is detected by a optical analysis system from at least one test sample for a plurality of programmed focus error values. A first variable value showing sensitivity to focus is selected based upon a corresponding responsiveness of the second variable to change of focus and/or a corresponding linearity of raw focus with respect to the programmed focus error. At least one focus value for the lithographic scanner is determined based upon at least one determined raw focus value corresponding to the selected first variable value. | 03-06-2014 |
20140060792 | Method and Apparatus to Reduce Thermal Stress by Regulation and Control of Lamp Operating Temperatures - A fluid input manifold distributes injected fluid around the body of a bulb to cool the bulb below a threshold. The injected fluid also distributes heat more evenly along the surface of the bulb to reduce thermal stress. The fluid input manifold may comprise one or more airfoils to direct a substantially laminar fluid flow along the surface of the bulb or it may comprise a plurality of fluid injection nozzles oriented to produce a substantially laminar fluid flow. An output portion may be configured to facilitate fluid flow along the surface of the bulb by allowing injected fluid to easily escape after absorbing heat from the bulb or by applying negative pressure to actively draw injected fluid along the surface of the bulb and away. | 03-06-2014 |
20140050389 | Automated Inspection Scenario Generation - Methods and systems for determining inspection scenarios without input from a user are presented. Inspection scenarios include at least one acquisition mode, defect detection parameter values, and classification parameter values. In one example, a number of defect events are determined by a hot inspection of a wafer surface. The defect events are classified and attributes associated with each defect event are identified. The defect events are labeled with this information. Based on the identified attributes and classification, inspection scenarios are determined. The inspection scenarios are solutions in a mathematical space formed by the identified attributes. In some examples, a plurality of inspection scenarios are determined and a desired inspection scenario is selected from the plurality based on the number of defects of interest and the number of nuisance events captured by the selected inspection scenario. | 02-20-2014 |
20140048707 | Optical Characterization Systems Employing Compact Synchrotron Radiation Sources - A compact synchrotron radiation source includes an electron beam generator, an electron storage ring, one or more wiggler insertion devices disposed along one or more straight sections of the electron storage ring, the one or more wiggler insertion devices including a set of magnetic poles configured to generate a periodic alternating magnetic field suitable for producing synchrotron radiation emitted along the direction of travel of the electrons of the storage ring, wherein the one or more wiggler insertion devices are arranged to provide light to a set of illumination optics of a wafer optical characterization system or a mask optical characterization system, wherein the etendue of a light beam emitted by the one or more wiggler insertion devices is matched to the illumination optics of the at least one of a wafer optical characterization system and the mask optical characterization system. | 02-20-2014 |
20140043608 | Optical System Polarizer Calibration - An apparatus to calibrate a polarizer in a polarized optical system at any angle of incidence. The apparatus decouples the polarization effect of the system from the polarization effect of the sample. The apparatus includes a substrate with a polarizer disposed on the surface. An indicator on the substrate indicates the polarization orientation of the polarizer, which is in a predetermined orientation with respect to the substrate. | 02-13-2014 |
20140043463 | TDI Sensor Modules With Localized Driving And Signal Processing Circuitry For High Speed Inspection - An inspection system for inspecting a surface of a wafer/mask/reticle can include a modular array. The modular array can include a plurality of time delay integration (TDI) sensor modules, each TDI sensor module having a TDI sensor and a plurality of localized circuits for driving and processing the TDI sensor. At least one of the localized circuits can control a clock associated with the TDI sensor. At least one light pipe can be used to distribute a source illumination to the plurality of TDI sensor modules. The plurality of TDI sensor modules can be positioned capture a same inspection region or different inspection regions. The plurality of TDI sensor modules can be identical or provide for different integration stages. Spacing of the modules can be arranged to provide 100% coverage of the inspection region in one pass or for fractional coverage requiring two or more passes for complete coverage. | 02-13-2014 |
20140042336 | Laser Sustained Plasma Bulb Including Water - A wafer inspection system includes a laser sustained plasma (LSP) light source that generates light with sufficient radiance to enable bright field inspection. Reliability of the LSP light source is improved by introducing an amount of water into the bulb containing the gas mixture that generates the plasma. Radiation generated by the plasma includes substantial radiance in a wavelength range below approximately 190 nanometers that causes damage to the materials used to construct the bulb. The water vapor acts as an absorber of radiation generated by the plasma in the wavelength range that causes damage. In some examples, a predetermined amount of water is introduced into the bulb to provide sufficient absorption. In some other examples, the temperature of a portion of the bulb containing an amount of condensed water is regulate to produce the desired partial pressure of water in the bulb. | 02-13-2014 |
20140036333 | MULTIPLEXING EUV SOURCES IN RETICLE INSPECTION - The present disclosure is directed to an illumination system. The illumination system may include a base member rotatable about a rotation axis and a plurality of mirrors disposed on an outer surface of the base member along a perimeter of the base member. The mirrors may be oriented at a predetermined angle. The illumination system also includes at least two illumination sources. Each of the mirrors of the first plurality of mirrors is configured to receive radiation from the first illumination source at a first portion of each mirror at a first time. The mirror is configured to reflect the radiation to an optical path. Each of the mirrors is further configured to receive radiation from the second illumination source at a second portion of the mirror at a second time. The mirrors reflect the radiation from the second illumination source to the common optical path. | 02-06-2014 |
20140034816 | Photocathode Including Silicon Substrate With Boron Layer - A photocathode is formed on a monocrystalline silicon substrate having opposing illuminated (top) and output (bottom) surfaces. To prevent oxidation of the silicon, a thin (e.g., 1-5 nm) boron layer is disposed directly on the output surface using a process that minimizes oxidation and defects, and a low work-function material layer is then formed over the boron layer to enhance the emission of photoelectrons. The low work-function material includes an alkali metal (e.g., cesium) or an alkali metal oxide. An optional second boron layer is formed on the illuminated (top) surface, and an optional anti-reflective material layer is formed on the boron layer to enhance entry of photons into the silicon substrate. An optional external potential is generated between the opposing illuminated (top) and output (bottom) surfaces. The photocathode forms part of novel sensors and inspection systems. | 02-06-2014 |
20140029016 | METHOD AND APPARATUS TO FOLD OPTICS IN TOOLS FOR MEASURING SHAPE AND/OR THICKNESS OF A LARGE AND THIN SUBSTRATE - A semiconductor measuring tool has a folding mirror configuration that directs a light beam to pass the same space multiple times to reduce the size and footprint. Furthermore, the folding mirrors may reflect the light beam at less than forty-five degrees; thereby allowing for smaller folding mirrors as compared to the prior art. | 01-30-2014 |
20140022563 | Periodic Patterns and Technique to Control Misalignment Between Two Layers - A method and system to measure misalignment error between two overlying or interlaced periodic structures are proposed. The overlying or interlaced periodic structures are illuminated by incident radiation, and the diffracted radiation of the incident radiation by the overlying or interlaced periodic structures are detected to provide an output signal. The misalignment between the overlying or interlaced periodic structures may then be determined from the output signal. | 01-23-2014 |
20140016125 | System and Method for Apodization in a Semiconductor Device Inspection System - An inspection system with selectable apodization includes an illumination source configured to illuminate a surface of a sample, a detector configured to detect at least a portion of light emanating from the surface of the sample, the illumination source and the detector being optically coupled via an optical pathway of an optical system, a selectably configurable apodization device disposed along the optical pathway, wherein the apodization device includes one or more apodization elements operatively coupled to one or more actuation stages configured to selectably actuate the one or more apodization elements along one or more directions, and a control system communicatively coupled to the one or more actuation and configured to selectably control apodization of illumination transmitted along the optical pathway by controlling an actuation state of the one or more apodization elements. | 01-16-2014 |
20140001370 | EUV High Throughput Inspection System For Defect Detection On Patterned EUV Masks, Mask Blanks, And Wafers | 01-02-2014 |
20130342833 | System With Polarized Scattered Light - An optical collection and detection system for use in a surface inspection system for inspecting a surface of a workpiece. The surface inspection system has an incident beam projected through a back quartersphere and toward a desired location on the surface, which is a scanned spot having a known scanned spot size. The incident beam impinges on the surface to create scattered light that is collected by a collector module. The collector module includes collection optics for collecting and focusing the scattered light to form focused scattered light. A collector output slit is positioned at an output of the collector module, through which the collection optics focus the scattered light. The scattered light that is associated with the scanned spot forms an imaged spot at the collector output slit. The collector output slit has a width that is selected to be proportional to the scanned spot size, so as to allow passage of the focused scattered light associated with the scanned spot, and exclude the focused scattered light associated with the workpiece regions other than the desired spot. A collector output varying subsystem varies the collector output slit so as to at least one of minimize passage of Rayleigh light scatter through the collector output slit or optimize a signal to air-scatter-noise ratio. | 12-26-2013 |
20130342831 | DEVICE-LIKE SCATTEROMETRY OVERLAY TARGETS - In one embodiment, a semiconductor target for detecting overlay error between two or more successive layers of a substrate or between two or more separately generated patterns on a single layer of a substrate is disclosed. The target comprises at least a plurality of a plurality of first grating structures having a course pitch that is resolvable by an inspection tool and a plurality of second grating structures positioned relative to the first grating structures. The second grating structures have a fine pitch that is smaller than the course pitch, and the first and second grating structures are both formed in two or more successive layers of a substrate or between two or more separately generated patterns on a single layer of a substrate. The first and second gratings have feature dimensions that all comply with a predefined design rules specification. | 12-26-2013 |
20130342827 | Linear Stage and Metrology Architecture for Reflective Electron Beam Lithography - A stage metrology suitable for REBL includes an interferometer stage metrology system configured to measure the position and rotation of a short-stroke wafer scanning stage, wherein the interferometer metrology system includes two or more interferometers for each axis of measurement, wherein a first interferometer mirror is disposed on a first surface of the short-stroke wafer scanning stage and a second interferometer mirror is disposed on a second surface of the short-stroke wafer scanning stage, and a control system configured to determine a shape error for the first interferometer mirror using two or more interferometer measurements from the two or more interferometers associated with the first interferometer mirror and a shape error for the second interferometer mirror using two or more interferometer measurements from the two or more interferometers associated with the second interferometer mirror. | 12-26-2013 |
20130341504 | AUGER ELEMENTAL IDENTIFICATION ALGORITHM - System and methods for decomposing an Auger electron spectrum into elemental and chemical components, includes conditioning and input spectrum to generate a normalized input spectrum; determining statistical correlation between the normalized input spectrum and stored elemental spectral signatures; and characterizing elemental or chemical species in the input spectrum from the statistical correlation, wherein said conditioning the input spectrum includes estimating a background signal of non-Auger electrons in the input spectrum and subtracting the estimated background signal from the input spectrum. | 12-26-2013 |
20130335733 | System With Multiple Scattered Light Collectors - A method for inspecting a surface of a workpiece for asymmetric defects, by scanning an incident beam on the surface of the workpiece to impinge thereon to create reflected light extending along a light channel axis in a front quartersphere and scattered light, the incident beam and the light channel axis defining an incident plane, collecting the scattered light at a plurality of collectors disposed above the surface at defined locations such that scatter from asymmetric defects is collectable by at least one collector, detecting collector output and generating signals in response, and processing the signals associated with each collector individually to obtain information about asymmetric defects. | 12-19-2013 |
20130321797 | SUPER RESOLUTION INSPECTION SYSTEM - The disclosure is directed to a system and method for inspecting a sample by illuminating the sample at a plurality of different angles and independently processing the resulting image streams. Illumination is directed through a plurality of pupil apertures to a plurality of respective field apertures so that the sample is imaged by portions of illumination directed at different angles. The corresponding portions of light reflected, scattered, or radiated from the surface of the sample are independently processed. Information associated with the independently processed portions of illumination is utilized to determine a location of at least one defect of the sample. Independently processing multiple image streams associated with different illumination angles allows for retention of frequency content that would otherwise be lost by averaging information from multiple imaging angles. | 12-05-2013 |
20130318485 | Design Alteration for Wafer Inspection - Methods and systems for binning defects on a wafer are provided. One method includes identifying areas in a design for a layer of a device being fabricated on a wafer that are not critical to yield of fabrication of the device and generating an altered design for the layer by eliminating features in the identified areas from the design for the layer. The method also includes binning defects detected on the layer into groups using the altered design such that features in the altered design proximate positions of the defects in each of the groups are at least similar. | 11-28-2013 |
20130313440 | Solid-State Laser And Inspection System Using 193nm Laser - Improved laser systems and associated techniques generate an ultra-violet (UV) wavelength of approximately 193.368 nm from a fundamental vacuum wavelength near 1064 nm. Preferred embodiments separate out an unconsumed portion of an input wavelength to at least one stage and redirect that unconsumed portion for use in another stage. The improved laser systems and associated techniques result in less expensive, longer life lasers than those currently being used in the industry. These laser systems can be constructed with readily-available, relatively inexpensive components. | 11-28-2013 |
20130313423 | ADVANCED DEBRIS MITIGATION OF EUV LIGHT SOURCE - Systems and methods for debris mitigation in an EUV light source for semiconductor processes are disclosed. Pulsed DC electric fields are applied to the path of EUV light to reject ions from the EUV path. The pulsed DC fields are triggered to coincide with the presence of debris in the EUV optical path. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 11-28-2013 |
20130308124 | Substrate Inspection - Various embodiments for substrate inspection are provided. | 11-21-2013 |
20130305206 | Measurement Model Optimization Based On Parameter Variations Across A Wafer - An optimized measurement model is determined based a model of parameter variations across a semiconductor wafer. A global, cross-wafer model characterizes a structural parameter as a function of location on the wafer. A measurement model is optimized by constraining the measurement model with the cross-wafer model of process variations. In some examples, the cross-wafer model is itself a parameterized model. However, the cross-wafer model characterizes the values of a structural parameter at any location on the wafer with far fewer parameters than a measurement model that treats the structural parameter as unknown at every location. In some examples, the cross-wafer model gives rise to constraints among unknown structural parameter values based on location on the wafer. In one example, the cross-wafer model relates the values of structural parameters associated with groups of measurement sites based on their location on the wafer. | 11-14-2013 |
20130304399 | SYSTEMS AND METHODS FOR WAFER SURFACE FEATURE DETECTION, CLASSIFICATION AND QUANTIFICATION WITH WAFER GEOMETRY METROLOGY TOOLS - Systems and methods for providing micro defect inspection capabilities for optical systems such as wafer metrology tools and interferometer systems are disclosed. The systems and methods in accordance with the present disclosure may detect, classify and quantify wafer surface features, wherein the detected defects are classified and the important defect metrology information of height/depth, area and volume is reported. The systems and methods in accordance with the present disclosure therefore provide more values for quantifying the negative effect of these defects on the wafer quality. | 11-14-2013 |
20130294871 | EFFICIENT MATERIAL HANDLING IN SEMICONDUCTOR WAFER PROCESSING - An apparatus includes a dedicated material handling module having a dedicated automated material handling system (AMHS) defines a transport route between a first tool and a second tool selected from a plurality of tools in a fabrication facility. The dedicated ANHS is configured to transport wafer carriers between the first tool and the second tool or vice versa independent of a fabrication facility AMHS that is configured to transport wafer carriers among the plurality of tools. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 11-07-2013 |
20130293890 | Overlay Targets with Orthogonal Underlayer Dummyfill - The disclosure is directed to designing and using an overlay target with orthogonal underlayer dummyfill. According to various embodiments, an overlay target may include one or more segmented overlay pattern elements forming at least one overlay target structure. The overlay target may further include one or more inactive pattern elements forming at least one dummyfill target structure. Each of the one or more inactive pattern elements may include dummyfill segmented along an axis orthogonal to a segmentation axis of at least one proximately disposed overlay pattern element. In some embodiments, each of the target structures or layers may be formed from a separate process layer successively disposed upon a substrate, such as a silicon wafer. In some embodiments, the overlay and dummyfill target structures may be twofold or fourfold rotationally symmetric to allow for certain manufacturing or metrology advantages. | 11-07-2013 |
20130293888 | Composite Polarizer With Adjustable Polarization Angles - An adjustable, composite polarizer can include first and second plate polarizers and an adjusting apparatus. The adjusting apparatus can adjust a pitch angle and a roll angle for the first and second plate polarizers while maintaining a predetermined, minimal distance between those plates. In this configuration, the adjustable, composite polarizer can provide mirror symmetric polarization with respect to an incident plane while providing the flexibility of any polarization. | 11-07-2013 |
20130293865 | Linear Stage for Reflective Electron Beam Lithography - A linear stacked stage suitable for REBL may include a first upper fast stage configured to translate a first plurality of wafers in a first direction along a first axis, the first upper fast stage configured to secure a first plurality of wafers; a second upper fast stage configured to translate a second plurality of wafers in a second direction along the first axis, the second upper fast stage configured to secure the second plurality of wafers, the second direction opposite to the first direction, wherein the translation of the first upper fast stage and the translation of the second upper fast stage are configured to substantially eliminate inertial reaction forces generated by motion of the first upper fast stage and the second upper fast stage; and a carrier stage configured to translate the first and second upper fast stages along a second axis. | 11-07-2013 |
20130279792 | Method and System for Hybrid Reticle Inspection - A semiconductor inspection apparatus performs a hybrid inspection process including cell-to-cell inspection, die-to-die inspection and die-to-golden or die-to-database inspection. The apparatus creates a golden image of a reticle complimentary to portions of the reticle that can be inspected by cell-to-cell inspection or die-to-die inspection. Alternatively, the apparatus creates a reduced database complimentary to portions of the reticle that can be inspected by cell-to-cell inspection or die-to-die inspection. | 10-24-2013 |
20130271827 | INDEXING OPTICS FOR AN ACTINIC EXTREME ULTRA-VIOLET (EUV) RETICLE INSPECTION TOOL - A method for reducing damage and contamination to an optical element in an extreme ultra-violet (EUV) reticle inspection system, including, presenting an illumination source to a reticle inspection system, and displacing the optical element in the path of the illumination source from a first portion to a second portion, wherein the first portion is damaged and the second portion is not damaged, and the optical element has a plurality of portions. | 10-17-2013 |
20130271596 | Systems and Methods for Sample Inspection and Review - The disclosure is directed to systems and methods for sample inspection and review. In some embodiments, images are collected and/or defects are located utilizing separately addressable red, green, and blue (RGB) illumination sources to improve image quality. In some embodiments, illumination sources are pulse width modulated for substantially consistent light intensity in presence of variable sample motion. In some embodiments, a stage assembly is configured to support the sample without blocking access to the supported surface of the sample, and further configured to reduce oscillations or vibrations of the sample. In some embodiments, an illumination system includes an imaging path and a focusing path to allow full field of view focusing. | 10-17-2013 |
20130270461 | SMART MEMORY ALLOYS FOR AN EXTREME ULTRA-VIOLET (EUV) RETICLE INSPECTION TOOL - An apparatus for actinic extreme ultra-violet (EUV) reticle inspection including at least one shape memory metal actuator adapted to displace an inspection component in an EUV inspection tool. An apparatus for actinic EUV reticle inspection including a tilt mechanism including at least one shape memory metal actuator adapted to angularly displace an inspection component in an EUV inspection tool. An apparatus for actinic EUV reticle inspection, including a translation stage adapted to fixedly connect to an inspection component, at least one flexure stage, and at least one shape memory metal actuator adapted to displace the translation stage. | 10-17-2013 |
20130270444 | APPARATUS AND METHOD FOR SYNCHRONIZING SAMPLE STAGE MOTION WITH A TIME DELAY INTEGRATION CHARGE-COUPLE DEVICE IN A SEMICONDUCTOR INSPECTION TOOL - A method for synchronizing sample stage motion with a time delay integration (TDI) charge-couple device (CCD) in a semiconductor inspection tool, including: measuring a lateral position of a stage holding a sample being inspected; measuring a vertical position of the stage; determining a corrected lateral position of an imaged pixel of the sample based on the measured lateral and vertical positions; and synchronizing charge transfer of the TDI CCD with the corrected lateral position of the imaged pixel. | 10-17-2013 |
20130265577 | Variable Polarization Wafer Inspection - Methods and systems for variable polarization wafer inspection are provided. One system includes one or more polarizing components position in one or more paths of light scattered from a wafer and detected by one or more channels of an inspection system. The polarizing component(s) are configured to have detection polarization(s) that are selected from two or more polarization settings for the polarizing component(s). | 10-10-2013 |
20130265572 | Protective Fluorine-Doped Silicon Oxide Film For Optical Components - An optical component includes a substrate and a fluorine-doped thin film formed on the substrate. This fluorine-doped thin film is dense, and thus very low absorbing and insensitive to various vacuum, temperature, and humidity conditions. This dense film has a high refractive index, which remains stable irrespective of environmental conditions. The fluorine-doped thin film can advantageously ensure low scattering, low reflectance, and high transmittance. Moreover, the fluorine-doped thin film is damage resistant to incident radiation density. The fluorine-doped thin film may be a fluorine-doped silicon oxide film having a thickness of approximately 1-10 nm and a fluorine concentration of 0.1% to 5%. | 10-10-2013 |
20130265557 | TEMPERATURE CONTROL IN EUV RETICLE INSPECTION TOOL - An apparatus comprises an optics assembly and a plate. The optics assembly configured to focus light from an EUV source onto a reticle or sensor. The plate has an opening to allow the EUV light to pass through disposed between the optics assembly and the reticle or sensor. The plate is cooled to a temperature less than that of the reticle or sensor. The plate is engineered to balance out heat absorbed from the reticle or sensor with heat absorbed by the plate. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 10-10-2013 |
20130264481 | Back-Illuminated Sensor With Boron Layer - An image sensor for short-wavelength light and charged particles includes a semiconductor membrane, circuit elements formed on one surface of the semiconductor membrane, and a pure boron layer on the other surface of the semiconductor membrane. This image sensor has high efficiency and good stability even under continuous use at high flux for multiple years. The image sensor may be fabricated using CCD (charge coupled device) or CMOS (complementary metal oxide semiconductor) technology. The image sensor may be a two-dimensional area sensor, or a one-dimensional array sensor. The image sensor can be included in an electron-bombarded image sensor and/or in an inspection system. | 10-10-2013 |
20130255717 | SYSTEM AND METHOD FOR CLEANING SURFACES AND COMPONENTS OF MASK AND WAFER INSPECTION SYSTEMS BASED ON THE POSITIVE COLUMN OF A GLOW DISCHARGE PLASMA - A system and method to clean surfaces and components of mask and wafer inspection systems based on the positive column of a glow discharge plasma are disclosed. The surface may be the surface of an optical component in a vacuum chamber or an interior wall of the vacuum chamber. A cathode and an anode may be used to generate the glow discharge plasma. The negative glow associated with the cathode may be isolated and the positive column associated with the anode may be used to clean the optical component or the interior wall of the vacuum chamber. As such, an in situ cleaning process, where the cleaning is done within the vacuum chamber, may be performed. | 10-03-2013 |
20130250582 | Multi-Spot Illumination for Wafer Inspection - Illumination subsystems for multi-spot wafer inspection are provided. One illumination subsystem includes a diffractive optical element configured to separate an illumination light beam into multiple light beams and a refractive lens array positioned in the path of the multiple light beams. The refractive lens array is configured to relay the laser beam waist at the diffractive optical element onto a wafer surface and to separately and simultaneously focus each of the multiple light beams to a wafer for inspection. | 09-26-2013 |
20130250385 | Laser with Integrated Multi Line or Scanning Beam Capability - A method and system for providing illumination is disclosed. The method may include providing a laser having a predetermined wavelength; performing at least one of: beam splitting or beam scanning prior to a frequency conversion; converting a frequency of each output beam of the at least one of: beam splitting or beam scanning; and providing the frequency converted output beam for illumination. | 09-26-2013 |
20130250287 | Systems and Methods for Detecting Defects on a Wafer - Systems and methods for detecting defects on a wafer are provided. One method includes generating output for a wafer by scanning the wafer with an inspection system using first and second optical states of the inspection system. The first and second optical states are defined by different values for at least one optical parameter of the inspection system. The method also includes generating first image data for the wafer using the output generated using the first optical state and second image data for the wafer using the output generated using the second optical state. In addition, the method includes combining the first image data and the second image data corresponding to substantially the same locations on the wafer thereby creating additional image data for the wafer. The method further includes detecting defects on the wafer using the additional image data. | 09-26-2013 |
20130245985 | Calibration Of An Optical Metrology System For Critical Dimension Application Matching - Methods and systems for matching critical dimension measurement applications at high precision across multiple optical metrology systems are presented. In one aspect, machine parameter values of a metrology system are calibrated based on critical dimension measurement data. In one further aspect, calibration of the machine parameter values is based on critical dimension measurement data collected by a target measurement system from a specimen with assigned critical dimension parameter values obtained from a reference measurement source. In another further aspect, the calibration of the machine parameter values of a target measurement system is based on measurement data without knowledge of critical dimension parameter values. In some examples, the measurement data includes critical dimension measurement data and thin film measurement data. Calibration of machine parameter values based on critical dimension data enhances application and tool-to-tool matching among systems for measurement of critical dimensions, film thickness, film composition, and overlay. | 09-19-2013 |
20130242380 | Suppression Of Parasitic Optical Feedback In Pulse Laser Systems - A pulsed laser system includes a variable attenuator located in a secondary optical path bounded by a target surface and one or more reflective surfaces outside of the primary laser oscillator of the laser system. The variable attenuator isolates an output optical amplifier of the laser system from light reflected from the target during time periods between laser pulses. In some embodiments, the variable attenuator is synchronously controlled with the primary laser oscillator. In some other embodiments, the variable attenuator is controlled separately from the primary laser oscillator to shape the generated laser pulses. | 09-19-2013 |
20130242305 | Imaging Overlay Metrology Target and Complimentary Overlay Metrology Measurement System - An exclusion region of interest imaging overlay target includes a self-symmetric target structure including two or more pattern elements, and an additional target structure including two or more pattern elements, wherein each of pattern elements of the additional target structure is contained within a boundary defined by one of the pattern elements of the self-symmetric target structure, wherein the self-symmetric target structure is characterized by a composite exterior region of interest, wherein the composite exterior region of interest is formed by removing two or more exclusion zones corresponding with the pattern elements of the additional target structure from an exterior region of interest encompassing the self-symmetric target structure, wherein each of the pattern elements of the additional target structure is characterized by an interior region of interest, wherein the self-symmetric target structure and the additional target structure are configured to have a common center of symmetry upon alignment. | 09-19-2013 |
20130242300 | METHODS AND APPARATUS FOR SPECTRAL LUMINESCENCE MEASUREMENT - One embodiment relates to a computer-implemented method of processing spectral luminescence mapping data obtained from a substrate, the substrate having an epitaxial layer stack that includes a multiple quantum well. A spectral luminescence and an epi thickness at a location on the substrate are obtained. A spectral modulation for the location may be computed given the epi thickness and material indices of refraction. The underlying luminescence spectrum may then be generated by dividing the measured spectral luminescence by the spectral modulation. Subsequently, a peak wavelength and other parameters may be obtained from the underlying luminescence spectrum. In another embodiment, the underlying luminescence spectrum may be determined, without the epi thickness measurement, using a self-consistent technique. Another embodiment relates to an apparatus for spectral luminescence mapping and epitaxial thickness measurement. Other embodiments, aspects and features are also disclosed. | 09-19-2013 |
20130242295 | Illumination System with Time Multiplexed Sources for Reticle Inspection - The disclosure is directed to a system and method of providing illumination for reticle inspection. According to various embodiments of the disclosure, a multiplexing mirror system receives pulses of illumination from a plurality of illumination sources and directs the pulses of illumination along an illumination path to a plurality of field mirror facets. The field mirror facets receive at least a portion of illumination from the illumination path and direct at least a portion of the illumination to a plurality of pupil mirror facets. The pupil mirror facets receive at least a portion of illumination reflected from the field mirror facets and direct the portion of illumination along a delivery path to a reticle for imaging and/or defect inspection. | 09-19-2013 |
20130242291 | Photomultiplier Tube with Extended Dynamic Range - The disclosure is directed to a photomultiplier tube with extended dynamic range. According to various embodiments, a repulsive electric field is introduced between a photocathode and a plurality of dynodes in order to repel or block low-energy electrons from reaching and being multiplied by the dynodes. As a result, time intervals between current peaks and drops may be decreased because the photomultiplier current will be primarily affected by high-energy electrons emitted by the photocathode in response to incident illumination versus low-energy electrons, some of which may result from dangling bonds or slow surface states after illumination no longer impinges the photocathode. Dynamic range and optical responsiveness of the photomultiplier tube are increased accordingly. | 09-19-2013 |
20130236085 | Systems and Methods of Advanced Site-Based Nanotopography for Wafer Surface Metrology - Systems and methods for providing micro defect inspection capabilities for optical systems are disclosed. Each given wafer image is filtered, treated and normalized prior to performing surface feature detection and quantification. A partitioning scheme is utilized to partition the wafer image into a plurality of measurement sites and metric values are calculated for each of the plurality of measurement sites. Furthermore, transformation steps may also be utilized to extract additional process relevant metric values for analysis purposes. | 09-12-2013 |
20130236084 | RETICLE DEFECT INSPECTION WITH SYSTEMATIC DEFECT FILTER - A stream of defect data is received from a reticle inspection system. The defect data identifies defects that were detected for a plurality of different portions of a reticle. Before reviewing the defect data to determine whether the reticle passes inspection and as the stream of defect data continues to be received, some of the defects are automatically grouped with other most recently one or more received defects on as form groups of substantially matching defects. Before reviewing the defect data to determine whether the reticle passes inspection and after all of the defect data for the reticle is received, one or more of the groups of defects that have a number above a predetermined threshold are automatically filtered from the defect data on as to form filtered defect data. The filtered defect data may then be provided to a review station for determining whether the reticle passes. | 09-12-2013 |